1
0
mirror of https://github.com/Klagarge/Cursor.git synced 2025-03-13 06:54:32 +00:00
Rémi Heredero 042f09e0f1 work with 2 small bugs
sometimes won't go back to pos2, and problem if many push in same button
2022-01-20 22:02:29 +01:00

15510 lines
197 KiB
Plaintext

DocumentHdrVersion "1.1"
Header (DocumentHdr
version 2
dialect 11
dmPackageRefs [
(DmPackageRef
library "ieee"
unitName "std_logic_1164"
)
(DmPackageRef
library "ieee"
unitName "numeric_std"
)
]
machine (Machine
name "csm"
children [
(Machine
name "csm"
children [
]
stateSignalName "current_state"
)
]
)
libraryRefs [
"ieee"
]
)
version "27.1"
appVersion "2019.2 (Build 5)"
model (StateMachine
VExpander (VariableExpander
vvMap [
(vvPair
variable "HDLDir"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl"
)
(vvPair
variable "HDSDir"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds"
)
(vvPair
variable "SideDataDesignDir"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm.info"
)
(vvPair
variable "SideDataUserDir"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm.user"
)
(vvPair
variable "SourceDir"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds"
)
(vvPair
variable "appl"
value "HDL Designer"
)
(vvPair
variable "arch_name"
value "fsm"
)
(vvPair
variable "concat_file"
value "concatenated"
)
(vvPair
variable "config"
value "%(unit)_%(view)_config"
)
(vvPair
variable "d"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2"
)
(vvPair
variable "d_logical"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2"
)
(vvPair
variable "date"
value "20.01.2022"
)
(vvPair
variable "day"
value "jeu."
)
(vvPair
variable "day_long"
value "jeudi"
)
(vvPair
variable "dd"
value "20"
)
(vvPair
variable "entity_name"
value "Main2"
)
(vvPair
variable "ext"
value "<TBD>"
)
(vvPair
variable "f"
value "fsm.sm"
)
(vvPair
variable "f_logical"
value "fsm.sm"
)
(vvPair
variable "f_noext"
value "fsm"
)
(vvPair
variable "graphical_source_author"
value "remi.heredero"
)
(vvPair
variable "graphical_source_date"
value "20.01.2022"
)
(vvPair
variable "graphical_source_group"
value "UNKNOWN"
)
(vvPair
variable "graphical_source_host"
value "WE2332001"
)
(vvPair
variable "graphical_source_time"
value "20:04:26"
)
(vvPair
variable "group"
value "UNKNOWN"
)
(vvPair
variable "host"
value "WE2332001"
)
(vvPair
variable "language"
value "VHDL"
)
(vvPair
variable "library"
value "Cursor"
)
(vvPair
variable "library_downstream_ModelSimCompiler"
value "$SCRATCH_DIR/Cursor/work"
)
(vvPair
variable "mm"
value "01"
)
(vvPair
variable "module_name"
value "Main2"
)
(vvPair
variable "month"
value "janv."
)
(vvPair
variable "month_long"
value "janvier"
)
(vvPair
variable "p"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm"
)
(vvPair
variable "p_logical"
value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2\\fsm.sm"
)
(vvPair
variable "package_name"
value "<Undefined Variable>"
)
(vvPair
variable "project_name"
value "hds"
)
(vvPair
variable "series"
value "HDL Designer Series"
)
(vvPair
variable "this_ext"
value "sm"
)
(vvPair
variable "this_file"
value "fsm"
)
(vvPair
variable "this_file_logical"
value "fsm"
)
(vvPair
variable "time"
value "20:04:26"
)
(vvPair
variable "unit"
value "Main2"
)
(vvPair
variable "user"
value "remi.heredero"
)
(vvPair
variable "version"
value "2019.2 (Build 5)"
)
(vvPair
variable "view"
value "fsm"
)
(vvPair
variable "year"
value "2022"
)
(vvPair
variable "yy"
value "22"
)
]
)
uid 1839,0
optionalChildren [
*1 (ConcurrentSM
uid 1,0
topDiagram (StateDiagram
LanguageMgr "None"
uid 2,0
optionalChildren [
*2 (State
uid 43,0
shape (Circle
uid 3829,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "69463,14613,76561,21711"
radius 3549
)
name (Text
uid 45,0
va (VaSet
font "Verdana,12,1"
)
xt "70462,17462,75562,18862"
st "choice"
ju 0
blo "73012,18662"
tm "ONodeName"
)
wait (TextAssociate
uid 46,0
ps "CenterOffsetStrategy"
text (Text
uid 47,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "66412,15662,79612,17062"
st "wait 132000000"
blo "66412,16862"
tm "SmWaitText"
)
)
encoding (Text
uid 48,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "73012,19262,73012,19262"
blo "73012,19262"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 51,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 52,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "75587,19953,89887,24953"
)
autoResize 1
tline (Line
uid 53,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "75687,19853,89787,19853"
pts [
"75687,19853"
"89787,19853"
]
)
bline (Line
uid 54,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "75687,21953,89787,21953"
pts [
"75687,21953"
"89787,21953"
]
)
ttri (Triangle
uid 55,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "75237,17678,75587,18028"
)
btri (Triangle
uid 56,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "75237,18878,75587,19228"
)
entryActions (MLText
uid 57,0
va (VaSet
)
xt "75687,16053,89787,19653"
tm "Actions"
)
inActions (MLText
uid 58,0
va (VaSet
)
xt "75687,20053,89787,24853"
st "RaZ <= '0';
unlock <= '1' ;
Power <= \"00000000\";
sideL <= '0';"
tm "Actions"
)
exitActions (MLText
uid 59,0
va (VaSet
)
xt "78737,19053,78737,19053"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 49,0
ps "CenterOffsetStrategy"
text (MLText
uid 50,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "70914,19364,77614,20564"
st "CASE: expr"
tm "SmCaseExpr"
)
)
expLoop 1
)
*3 (SmRecoveryStatePoint
uid 93,0
shape (CompositeShape
uid 94,0
va (VaSet
vasetType 1
fg "65535,0,0"
)
optionalChildren [
(Circle
uid 95,0
sl 0
xt "3250,14100,5050,15900"
radius 900
)
(Line
uid 96,0
sl 0
va (VaSet
vasetType 3
lineColor "65535,65535,0"
lineWidth 1
)
xt "3724,14574,4576,15426"
pts [
"3724,15426"
"4576,14574"
]
)
(Line
uid 97,0
sl 0
va (VaSet
vasetType 3
lineColor "65535,65535,0"
lineWidth 1
)
xt "3724,14574,4576,15426"
pts [
"4576,15426"
"3724,14574"
]
)
]
)
)
*4 (Link
uid 98,0
shape (CompositeShape
uid 99,0
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "0,0,0"
)
optionalChildren [
(Pentagon
uid 100,0
sl 0
ro 270
xt "17000,14500,19250,15500"
)
(Line
uid 101,0
sl 0
ro 270
xt "16500,15000,17000,15000"
pts [
"16500,15000"
"17000,15000"
]
)
]
)
name (TextAssociate
uid 102,0
ps "CenterOffsetStrategy"
text (Text
uid 103,0
va (VaSet
font "Verdana,9,1"
)
xt "19750,14500,21450,15700"
st "s0"
blo "19750,15500"
tm "LinkName"
)
)
)
*5 (Grouping
uid 124,0
optionalChildren [
*6 (CommentText
uid 126,0
shape (Rectangle
uid 127,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "36000,46000,53000,47000"
)
oxt "18000,70000,35000,71000"
text (MLText
uid 128,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "36200,46000,48800,47000"
st "
by %user on %dd %month %year
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
titleBlock 1
)
*7 (CommentText
uid 129,0
shape (Rectangle
uid 130,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "53000,42000,57000,43000"
)
oxt "35000,66000,39000,67000"
text (MLText
uid 131,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "53200,42000,56200,43000"
st "
Project:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
titleBlock 1
)
*8 (CommentText
uid 132,0
shape (Rectangle
uid 133,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "36000,44000,53000,45000"
)
oxt "18000,68000,35000,69000"
text (MLText
uid 134,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "36200,44000,46200,45000"
st "
<enter diagram title here>
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
titleBlock 1
)
*9 (CommentText
uid 135,0
shape (Rectangle
uid 136,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "32000,44000,36000,45000"
)
oxt "14000,68000,18000,69000"
text (MLText
uid 137,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "32200,44000,34300,45000"
st "
Title:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
titleBlock 1
)
*10 (CommentText
uid 138,0
shape (Rectangle
uid 139,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "53000,43000,73000,47000"
)
oxt "35000,67000,55000,71000"
text (MLText
uid 140,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "53200,43200,62600,44200"
st "
<enter comments here>
"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
titleBlock 1
)
*11 (CommentText
uid 141,0
shape (Rectangle
uid 142,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "57000,42000,73000,43000"
)
oxt "39000,66000,55000,67000"
text (MLText
uid 143,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "57200,42000,58800,43000"
st "
%project_name
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
titleBlock 1
)
*12 (CommentText
uid 144,0
shape (Rectangle
uid 145,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "32000,42000,53000,44000"
)
oxt "14000,66000,35000,68000"
text (MLText
uid 146,0
va (VaSet
isHidden 1
fg "32768,0,0"
)
xt "37350,42400,47650,43600"
st "
<company name>
"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
titleBlock 1
)
*13 (CommentText
uid 147,0
shape (Rectangle
uid 148,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "32000,45000,36000,46000"
)
oxt "14000,69000,18000,70000"
text (MLText
uid 149,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "32200,45000,34300,46000"
st "
Path:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
titleBlock 1
)
*14 (CommentText
uid 150,0
shape (Rectangle
uid 151,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "32000,46000,36000,47000"
)
oxt "14000,70000,18000,71000"
text (MLText
uid 152,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "32200,46000,34900,47000"
st "
Edited:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
titleBlock 1
)
*15 (CommentText
uid 153,0
shape (Rectangle
uid 154,0
sl 0
va (VaSet
vasetType 1
isHidden 1
fg "65280,65280,46080"
)
xt "36000,45000,53000,46000"
)
oxt "18000,69000,35000,70000"
text (MLText
uid 155,0
va (VaSet
isHidden 1
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "36200,45000,43200,46000"
st "
%library/%unit/%view
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
titleBlock 1
)
]
shape (GroupingShape
uid 125,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "32000,42000,73000,47000"
)
oxt "14000,66000,55000,71000"
)
*16 (State
uid 221,0
shape (CircleInOctagon
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "26368,26368,26368"
lineWidth 2
)
xt "66359,33333,79859,46833"
)
name (Text
uid 223,0
va (VaSet
font "Verdana,12,1"
)
xt "68659,40283,77559,41683"
st "Position_2"
ju 0
blo "73109,41483"
tm "ONodeName"
)
wait (TextAssociate
uid 224,0
ps "CenterOffsetStrategy"
text (Text
uid 225,0
va (VaSet
fg "0,0,32768"
font "Verdana,12,1"
)
xt "70081,36415,76081,37815"
st "wait 50"
blo "70081,37615"
tm "SmWaitText"
)
)
encoding (Text
uid 226,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "73109,42083,73109,42083"
blo "73109,42083"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 229,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 230,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "79487,38613,94287,41213"
)
autoResize 1
tline (Line
uid 231,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79587,38513,94187,38513"
pts [
"79587,38513"
"94187,38513"
]
)
bline (Line
uid 232,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79587,38813,94187,38813"
pts [
"79587,38813"
"94187,38813"
]
)
ttri (Triangle
uid 233,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79137,38138,79487,38488"
)
btri (Triangle
uid 234,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79137,36338,79487,36688"
)
entryActions (MLText
uid 235,0
va (VaSet
)
xt "79587,38313,79587,38313"
tm "Actions"
)
inActions (MLText
uid 236,0
va (VaSet
)
xt "79587,38713,94187,41113"
st "CurrentPos <= Position ;
unlock <= '0' ;"
tm "Actions"
)
exitActions (MLText
uid 237,0
va (VaSet
)
xt "82887,36513,82887,36513"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 227,0
ps "CenterOffsetStrategy"
text (MLText
uid 228,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "71011,41285,77711,42485"
st "CASE: expr"
tm "SmCaseExpr"
)
)
isWait 1
expLoop 1
)
*17 (State
uid 238,0
shape (CircleInOctagon
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "26368,26368,26368"
lineWidth 2
)
xt "30842,34256,42568,45982"
)
name (Text
uid 240,0
va (VaSet
font "Verdana,12,1"
)
xt "32905,40319,40505,41719"
st "Postion_1"
ju 0
blo "36705,41519"
tm "ONodeName"
)
wait (TextAssociate
uid 241,0
ps "CenterOffsetStrategy"
text (Text
uid 242,0
va (VaSet
fg "0,0,32768"
font "Verdana,12,1"
)
xt "33207,37203,39207,38603"
st "wait 50"
blo "33207,38403"
tm "SmWaitText"
)
)
encoding (Text
uid 243,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "36705,42119,36705,42119"
blo "36705,42119"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 246,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 247,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "42331,38461,57131,41061"
)
autoResize 1
tline (Line
uid 248,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "42431,38361,57031,38361"
pts [
"42431,38361"
"57031,38361"
]
)
bline (Line
uid 249,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "42431,38661,57031,38661"
pts [
"42431,38661"
"57031,38661"
]
)
ttri (Triangle
uid 250,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "41981,37986,42331,38336"
)
btri (Triangle
uid 251,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "41981,36186,42331,36536"
)
entryActions (MLText
uid 252,0
va (VaSet
)
xt "42431,38161,42431,38161"
tm "Actions"
)
inActions (MLText
uid 253,0
va (VaSet
)
xt "42431,38561,57031,40961"
st "CurrentPos <= Position ;
unlock <= '0' ;"
tm "Actions"
)
exitActions (MLText
uid 254,0
va (VaSet
)
xt "45731,36361,45731,36361"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 244,0
ps "CenterOffsetStrategy"
text (MLText
uid 245,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "34607,41321,41307,42521"
st "CASE: expr"
tm "SmCaseExpr"
)
)
isWait 1
expLoop 1
)
*18 (State
uid 255,0
shape (Circle
uid 256,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "114431,30274,125787,41630"
radius 5678
)
name (Text
uid 257,0
va (VaSet
font "Verdana,12,1"
)
xt "115059,35252,125159,36652"
st "Remise_a_0"
ju 0
blo "120109,36452"
tm "ONodeName"
)
wait (TextAssociate
uid 258,0
ps "CenterOffsetStrategy"
text (Text
uid 259,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "119859,36152,124959,37552"
st "wait 2"
blo "119859,37352"
tm "SmWaitText"
)
)
encoding (Text
uid 260,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "120109,37052,120109,37052"
blo "120109,37052"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 263,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 264,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "114680,37429,128980,41229"
)
autoResize 1
tline (Line
uid 265,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "114780,37329,128880,37329"
pts [
"114780,37329"
"128880,37329"
]
)
bline (Line
uid 266,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "114780,38529,128880,38529"
pts [
"114780,38529"
"128880,38529"
]
)
ttri (Triangle
uid 267,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "114330,36954,114680,37304"
)
btri (Triangle
uid 268,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "114330,35754,114680,36104"
)
entryActions (MLText
uid 269,0
va (VaSet
)
xt "114780,37129,114780,37129"
tm "Actions"
)
inActions (MLText
uid 270,0
va (VaSet
)
xt "114780,37529,128880,41129"
st "Power <= \"11111111\";
SideL <= '1' ;
unlock <= '0' ;"
tm "Actions"
)
exitActions (MLText
uid 271,0
va (VaSet
)
xt "117830,35929,117830,35929"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 261,0
ps "CenterOffsetStrategy"
text (MLText
uid 262,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "118009,37152,124709,38352"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*19 (State
uid 329,0
shape (Circle
uid 330,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "117065,48021,124167,55123"
radius 3551
)
name (Text
uid 331,0
va (VaSet
font "Verdana,12,1"
)
xt "118666,50872,122566,52272"
st "Zero"
ju 0
blo "120616,52072"
tm "ONodeName"
)
wait (TextAssociate
uid 332,0
ps "CenterOffsetStrategy"
text (Text
uid 333,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "120366,51772,125466,53172"
st "wait 2"
blo "120366,52972"
tm "SmWaitText"
)
)
encoding (Text
uid 334,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "120616,52672,120616,52672"
blo "120616,52672"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 337,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 338,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "116564,55740,131164,58340"
)
autoResize 1
tline (Line
uid 339,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "116664,55640,131064,55640"
pts [
"116664,55640"
"131064,55640"
]
)
bline (Line
uid 340,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "116664,55940,131064,55940"
pts [
"116664,55940"
"131064,55940"
]
)
ttri (Triangle
uid 341,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "116214,54065,116564,54415"
)
btri (Triangle
uid 342,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "116214,53465,116564,53815"
)
entryActions (MLText
uid 343,0
va (VaSet
)
xt "116664,53040,131064,55440"
tm "Actions"
)
inActions (MLText
uid 344,0
va (VaSet
)
xt "116664,55840,131064,58240"
st "RaZ <= '1' ;
Power <= \"00000000\" ;"
tm "Actions"
)
exitActions (MLText
uid 345,0
va (VaSet
)
xt "119864,53640,119864,53640"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 335,0
ps "CenterOffsetStrategy"
text (MLText
uid 336,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "118516,52772,125216,53972"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*20 (State
uid 400,0
shape (CircleInOctagon
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "26368,26368,26368"
lineWidth 2
)
xt "34465,60704,42817,69056"
)
name (Text
uid 402,0
va (VaSet
font "Verdana,12,1"
)
xt "36391,65080,40891,66480"
st "GoR1"
ju 0
blo "38641,66280"
tm "ONodeName"
)
wait (TextAssociate
uid 403,0
ps "CenterOffsetStrategy"
text (Text
uid 404,0
va (VaSet
fg "0,0,32768"
font "Verdana,12,1"
)
xt "34485,62904,40485,64304"
st "wait 50"
blo "34485,64104"
tm "SmWaitText"
)
)
encoding (Text
uid 405,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "38641,66880,38641,66880"
blo "38641,66880"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 408,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 409,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth 2
)
xt "43906,64619,51906,67619"
)
autoResize 1
tline (Line
uid 410,0
va (VaSet
vasetType 3
lineColor "39936,56832,65280"
lineWidth 2
)
xt "44006,66119,51806,66119"
pts [
"44006,66119"
"51806,66119"
]
)
bline (Line
uid 411,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth 2
)
xt "44006,65119,51806,65119"
pts [
"44006,65119"
"51806,65119"
]
)
ttri (Triangle
uid 412,0
ro 90
va (VaSet
vasetType 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "43556,65144,43906,65494"
)
btri (Triangle
uid 413,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "43556,62544,43906,62894"
)
entryActions (MLText
uid 414,0
va (VaSet
)
xt "44006,64719,51806,65919"
st "sideL <= '0';"
tm "Actions"
)
inActions (MLText
uid 415,0
va (VaSet
)
xt "44006,66319,51806,67519"
st "sideL <= '0';"
tm "Actions"
)
exitActions (MLText
uid 416,0
va (VaSet
)
xt "43906,62719,43906,62719"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 406,0
ps "CenterOffsetStrategy"
text (MLText
uid 407,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "36542,66081,43242,67281"
st "CASE: expr"
tm "SmCaseExpr"
)
)
isWait 1
expLoop 1
)
*21 (State
uid 417,0
shape (CircleInOctagon
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "26368,26368,26368"
lineWidth 2
)
xt "72337,61271,80689,69623"
)
name (Text
uid 419,0
va (VaSet
font "Verdana,12,1"
)
xt "74313,65647,78713,67047"
st "GoL1"
ju 0
blo "76513,66847"
tm "ONodeName"
)
wait (TextAssociate
uid 420,0
ps "CenterOffsetStrategy"
text (Text
uid 421,0
va (VaSet
fg "0,0,32768"
font "Verdana,12,1"
)
xt "72827,62813,78827,64213"
st "wait 50"
blo "72827,64013"
tm "SmWaitText"
)
)
encoding (Text
uid 422,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "76513,67447,76513,67447"
blo "76513,67447"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 425,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 426,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth 2
)
xt "81158,63494,89458,66494"
)
autoResize 1
tline (Line
uid 427,0
va (VaSet
vasetType 3
lineColor "39936,56832,65280"
lineWidth 2
)
xt "81258,64994,89358,64994"
pts [
"81258,64994"
"89358,64994"
]
)
bline (Line
uid 428,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth 2
)
xt "81258,63994,89358,63994"
pts [
"81258,63994"
"89358,63994"
]
)
ttri (Triangle
uid 429,0
ro 90
va (VaSet
vasetType 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80808,64019,81158,64369"
)
btri (Triangle
uid 430,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80808,61419,81158,61769"
)
entryActions (MLText
uid 431,0
va (VaSet
)
xt "81258,63594,89358,64794"
st "sideL <= '1' ;"
tm "Actions"
)
inActions (MLText
uid 432,0
va (VaSet
)
xt "81258,65194,89358,66394"
st "sideL <= '1' ;"
tm "Actions"
)
exitActions (MLText
uid 433,0
va (VaSet
)
xt "81308,61594,81308,61594"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 423,0
ps "CenterOffsetStrategy"
text (MLText
uid 424,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "74414,66648,81114,67848"
st "CASE: expr"
tm "SmCaseExpr"
)
)
isWait 1
expLoop 1
)
*22 (State
uid 950,0
shape (Circle
uid 951,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "30840,75351,42392,86903"
radius 5776
)
name (Text
uid 952,0
va (VaSet
font "Verdana,12,1"
)
xt "33566,80427,39666,81827"
st "accel_1"
ju 0
blo "36616,81627"
tm "ONodeName"
)
wait (TextAssociate
uid 953,0
ps "CenterOffsetStrategy"
text (Text
uid 954,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "36366,81327,41466,82727"
st "wait 2"
blo "36366,82527"
tm "SmWaitText"
)
)
encoding (Text
uid 955,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "36616,82227,36616,82227"
blo "36616,82227"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 958,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 959,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "33316,83827,47916,85227"
)
autoResize 1
tline (Line
uid 960,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "33416,83727,47816,83727"
pts [
"33416,83727"
"47816,83727"
]
)
bline (Line
uid 961,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "33416,83127,47816,83127"
pts [
"33416,83127"
"47816,83127"
]
)
ttri (Triangle
uid 962,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "32966,83352,33316,83702"
)
btri (Triangle
uid 963,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "32966,80952,33316,81302"
)
entryActions (MLText
uid 964,0
va (VaSet
)
xt "33416,83527,33416,83527"
tm "Actions"
)
inActions (MLText
uid 965,0
va (VaSet
)
xt "33416,83927,47816,85127"
st "Power <= \"00011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 966,0
va (VaSet
)
xt "36616,81127,36616,81127"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 956,0
ps "CenterOffsetStrategy"
text (MLText
uid 957,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "34516,82327,41216,83527"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*23 (State
uid 967,0
shape (Circle
uid 968,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "50099,83675,61651,95227"
radius 5776
)
name (Text
uid 969,0
va (VaSet
font "Verdana,12,1"
)
xt "52825,88751,58925,90151"
st "accel_2"
ju 0
blo "55875,89951"
tm "ONodeName"
)
wait (TextAssociate
uid 970,0
ps "CenterOffsetStrategy"
text (Text
uid 971,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "55625,89651,60725,91051"
st "wait 2"
blo "55625,90851"
tm "SmWaitText"
)
)
encoding (Text
uid 972,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "55875,90551,55875,90551"
blo "55875,90551"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 975,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 976,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "52575,92151,67175,93551"
)
autoResize 1
tline (Line
uid 977,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52675,92051,67075,92051"
pts [
"52675,92051"
"67075,92051"
]
)
bline (Line
uid 978,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52675,91451,67075,91451"
pts [
"52675,91451"
"67075,91451"
]
)
ttri (Triangle
uid 979,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52225,91676,52575,92026"
)
btri (Triangle
uid 980,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52225,89276,52575,89626"
)
entryActions (MLText
uid 981,0
va (VaSet
)
xt "52675,91851,52675,91851"
tm "Actions"
)
inActions (MLText
uid 982,0
va (VaSet
)
xt "52675,92251,67075,93451"
st "Power <= \"00110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 983,0
va (VaSet
)
xt "55875,89451,55875,89451"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 973,0
ps "CenterOffsetStrategy"
text (MLText
uid 974,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "53775,90651,60475,91851"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*24 (State
uid 984,0
shape (Circle
uid 985,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "29538,94968,41090,106520"
radius 5776
)
name (Text
uid 986,0
va (VaSet
font "Verdana,12,1"
)
xt "32264,100044,38364,101444"
st "accel_3"
ju 0
blo "35314,101244"
tm "ONodeName"
)
wait (TextAssociate
uid 987,0
ps "CenterOffsetStrategy"
text (Text
uid 988,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "35064,100944,40164,102344"
st "wait 2"
blo "35064,102144"
tm "SmWaitText"
)
)
encoding (Text
uid 989,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "35314,101844,35314,101844"
blo "35314,101844"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 992,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 993,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "32014,103444,46614,104844"
)
autoResize 1
tline (Line
uid 994,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "32114,103344,46514,103344"
pts [
"32114,103344"
"46514,103344"
]
)
bline (Line
uid 995,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "32114,102744,46514,102744"
pts [
"32114,102744"
"46514,102744"
]
)
ttri (Triangle
uid 996,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "31664,102969,32014,103319"
)
btri (Triangle
uid 997,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "31664,100569,32014,100919"
)
entryActions (MLText
uid 998,0
va (VaSet
)
xt "32114,103144,32114,103144"
tm "Actions"
)
inActions (MLText
uid 999,0
va (VaSet
)
xt "32114,103544,46514,104744"
st "Power <= \"01001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1000,0
va (VaSet
)
xt "35314,100744,35314,100744"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 990,0
ps "CenterOffsetStrategy"
text (MLText
uid 991,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "33214,101944,39914,103144"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*25 (State
uid 1001,0
shape (Circle
uid 1002,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "50187,104138,61739,115690"
radius 5776
)
name (Text
uid 1003,0
va (VaSet
font "Verdana,12,1"
)
xt "52913,109214,59013,110614"
st "accel_4"
ju 0
blo "55963,110414"
tm "ONodeName"
)
wait (TextAssociate
uid 1004,0
ps "CenterOffsetStrategy"
text (Text
uid 1005,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "55713,110114,60813,111514"
st "wait 2"
blo "55713,111314"
tm "SmWaitText"
)
)
encoding (Text
uid 1006,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "55963,111014,55963,111014"
blo "55963,111014"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1009,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1010,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "52663,112614,67263,114014"
)
autoResize 1
tline (Line
uid 1011,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52763,112514,67163,112514"
pts [
"52763,112514"
"67163,112514"
]
)
bline (Line
uid 1012,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52763,111914,67163,111914"
pts [
"52763,111914"
"67163,111914"
]
)
ttri (Triangle
uid 1013,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52313,112139,52663,112489"
)
btri (Triangle
uid 1014,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "52313,109739,52663,110089"
)
entryActions (MLText
uid 1015,0
va (VaSet
)
xt "52763,112314,52763,112314"
tm "Actions"
)
inActions (MLText
uid 1016,0
va (VaSet
)
xt "52763,112714,67163,113914"
st "Power <= \"01100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1017,0
va (VaSet
)
xt "55963,109914,55963,109914"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1007,0
ps "CenterOffsetStrategy"
text (MLText
uid 1008,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "53863,111114,60563,112314"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*26 (State
uid 1018,0
shape (Circle
uid 1019,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "28361,114381,39913,125933"
radius 5776
)
name (Text
uid 1020,0
va (VaSet
font "Verdana,12,1"
)
xt "31087,119457,37187,120857"
st "accel_5"
ju 0
blo "34137,120657"
tm "ONodeName"
)
wait (TextAssociate
uid 1021,0
ps "CenterOffsetStrategy"
text (Text
uid 1022,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "33887,120357,38987,121757"
st "wait 2"
blo "33887,121557"
tm "SmWaitText"
)
)
encoding (Text
uid 1023,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "34137,121257,34137,121257"
blo "34137,121257"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1026,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1027,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "30837,122857,45437,124257"
)
autoResize 1
tline (Line
uid 1028,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30937,122757,45337,122757"
pts [
"30937,122757"
"45337,122757"
]
)
bline (Line
uid 1029,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30937,122157,45337,122157"
pts [
"30937,122157"
"45337,122157"
]
)
ttri (Triangle
uid 1030,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30487,122382,30837,122732"
)
btri (Triangle
uid 1031,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30487,119982,30837,120332"
)
entryActions (MLText
uid 1032,0
va (VaSet
)
xt "30937,122557,30937,122557"
tm "Actions"
)
inActions (MLText
uid 1033,0
va (VaSet
)
xt "30937,122957,45337,124157"
st "Power <= \"10000000\" ;"
tm "Actions"
)
exitActions (MLText
uid 1034,0
va (VaSet
)
xt "34137,120157,34137,120157"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1024,0
ps "CenterOffsetStrategy"
text (MLText
uid 1025,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "32037,121357,38737,122557"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*27 (State
uid 1035,0
shape (Circle
uid 1036,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "49262,123814,60814,135366"
radius 5776
)
name (Text
uid 1037,0
va (VaSet
font "Verdana,12,1"
)
xt "51988,128890,58088,130290"
st "accel_6"
ju 0
blo "55038,130090"
tm "ONodeName"
)
wait (TextAssociate
uid 1038,0
ps "CenterOffsetStrategy"
text (Text
uid 1039,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "54788,129790,59888,131190"
st "wait 2"
blo "54788,130990"
tm "SmWaitText"
)
)
encoding (Text
uid 1040,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "55038,130690,55038,130690"
blo "55038,130690"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1043,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1044,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "51738,132290,66338,133690"
)
autoResize 1
tline (Line
uid 1045,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51838,132190,66238,132190"
pts [
"51838,132190"
"66238,132190"
]
)
bline (Line
uid 1046,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51838,131590,66238,131590"
pts [
"51838,131590"
"66238,131590"
]
)
ttri (Triangle
uid 1047,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51388,131815,51738,132165"
)
btri (Triangle
uid 1048,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51388,129415,51738,129765"
)
entryActions (MLText
uid 1049,0
va (VaSet
)
xt "51838,131990,51838,131990"
tm "Actions"
)
inActions (MLText
uid 1050,0
va (VaSet
)
xt "51838,132390,66238,133590"
st "Power <= \"10011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1051,0
va (VaSet
)
xt "55038,129590,55038,129590"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1041,0
ps "CenterOffsetStrategy"
text (MLText
uid 1042,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "52938,130790,59638,131990"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*28 (State
uid 1052,0
shape (Circle
uid 1053,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "27596,134859,39148,146411"
radius 5776
)
name (Text
uid 1054,0
va (VaSet
font "Verdana,12,1"
)
xt "30322,139935,36422,141335"
st "accel_7"
ju 0
blo "33372,141135"
tm "ONodeName"
)
wait (TextAssociate
uid 1055,0
ps "CenterOffsetStrategy"
text (Text
uid 1056,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "33122,140835,38222,142235"
st "wait 2"
blo "33122,142035"
tm "SmWaitText"
)
)
encoding (Text
uid 1057,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "33372,141735,33372,141735"
blo "33372,141735"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1060,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1061,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "30072,143335,44672,144735"
)
autoResize 1
tline (Line
uid 1062,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30172,143235,44572,143235"
pts [
"30172,143235"
"44572,143235"
]
)
bline (Line
uid 1063,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "30172,142635,44572,142635"
pts [
"30172,142635"
"44572,142635"
]
)
ttri (Triangle
uid 1064,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "29722,142860,30072,143210"
)
btri (Triangle
uid 1065,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "29722,140460,30072,140810"
)
entryActions (MLText
uid 1066,0
va (VaSet
)
xt "30172,143035,30172,143035"
tm "Actions"
)
inActions (MLText
uid 1067,0
va (VaSet
)
xt "30172,143435,44572,144635"
st "Power <= \"10110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1068,0
va (VaSet
)
xt "33372,140635,33372,140635"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1058,0
ps "CenterOffsetStrategy"
text (MLText
uid 1059,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "31272,141835,37972,143035"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*29 (State
uid 1069,0
shape (Circle
uid 1070,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "49186,144305,60738,155857"
radius 5776
)
name (Text
uid 1071,0
va (VaSet
font "Verdana,12,1"
)
xt "51912,149381,58012,150781"
st "accel_8"
ju 0
blo "54962,150581"
tm "ONodeName"
)
wait (TextAssociate
uid 1072,0
ps "CenterOffsetStrategy"
text (Text
uid 1073,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "54712,150281,59812,151681"
st "wait 2"
blo "54712,151481"
tm "SmWaitText"
)
)
encoding (Text
uid 1074,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "54962,151181,54962,151181"
blo "54962,151181"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1077,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1078,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "51662,152781,66262,154181"
)
autoResize 1
tline (Line
uid 1079,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51762,152681,66162,152681"
pts [
"51762,152681"
"66162,152681"
]
)
bline (Line
uid 1080,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51762,152081,66162,152081"
pts [
"51762,152081"
"66162,152081"
]
)
ttri (Triangle
uid 1081,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51312,152306,51662,152656"
)
btri (Triangle
uid 1082,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "51312,149906,51662,150256"
)
entryActions (MLText
uid 1083,0
va (VaSet
)
xt "51762,152481,51762,152481"
tm "Actions"
)
inActions (MLText
uid 1084,0
va (VaSet
)
xt "51762,152881,66162,154081"
st "Power <= \"11001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1085,0
va (VaSet
)
xt "54962,150081,54962,150081"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1075,0
ps "CenterOffsetStrategy"
text (MLText
uid 1076,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "52862,151281,59562,152481"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*30 (State
uid 1086,0
shape (Circle
uid 1087,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "26912,153286,38464,164838"
radius 5776
)
name (Text
uid 1088,0
va (VaSet
font "Verdana,12,1"
)
xt "29638,158362,35738,159762"
st "accel_9"
ju 0
blo "32688,159562"
tm "ONodeName"
)
wait (TextAssociate
uid 1089,0
ps "CenterOffsetStrategy"
text (Text
uid 1090,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "32438,159262,37538,160662"
st "wait 2"
blo "32438,160462"
tm "SmWaitText"
)
)
encoding (Text
uid 1091,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "32688,160162,32688,160162"
blo "32688,160162"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1094,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1095,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "28623,162335,43223,163735"
)
autoResize 1
tline (Line
uid 1096,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "28723,162235,43123,162235"
pts [
"28723,162235"
"43123,162235"
]
)
bline (Line
uid 1097,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "28723,161635,43123,161635"
pts [
"28723,161635"
"43123,161635"
]
)
ttri (Triangle
uid 1098,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "28273,161860,28623,162210"
)
btri (Triangle
uid 1099,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "28273,159460,28623,159810"
)
entryActions (MLText
uid 1100,0
va (VaSet
)
xt "28723,162035,28723,162035"
tm "Actions"
)
inActions (MLText
uid 1101,0
va (VaSet
)
xt "28723,162435,43123,163635"
st "Power <= \"11100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1102,0
va (VaSet
)
xt "31923,159635,31923,159635"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1092,0
ps "CenterOffsetStrategy"
text (MLText
uid 1093,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "30588,160262,37288,161462"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*31 (State
uid 1103,0
shape (Circle
uid 1104,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "42858,173184,55290,185616"
radius 6216
)
name (Text
uid 1105,0
va (VaSet
font "Verdana,12,1"
)
xt "46074,178700,52074,180100"
st "CruiseR"
ju 0
blo "49074,179900"
tm "ONodeName"
)
wait (TextAssociate
uid 1106,0
ps "CenterOffsetStrategy"
text (Text
uid 1107,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "48824,179600,53924,181000"
st "wait 2"
blo "48824,180800"
tm "SmWaitText"
)
)
encoding (Text
uid 1108,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "49074,180500,49074,180500"
blo "49074,180500"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1111,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1112,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "45774,182100,60374,183500"
)
autoResize 1
tline (Line
uid 1113,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45874,182000,60274,182000"
pts [
"45874,182000"
"60274,182000"
]
)
bline (Line
uid 1114,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45874,181400,60274,181400"
pts [
"45874,181400"
"60274,181400"
]
)
ttri (Triangle
uid 1115,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45424,181625,45774,181975"
)
btri (Triangle
uid 1116,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45424,179225,45774,179575"
)
entryActions (MLText
uid 1117,0
va (VaSet
)
xt "45874,181800,45874,181800"
tm "Actions"
)
inActions (MLText
uid 1118,0
va (VaSet
)
xt "45874,182200,60274,183400"
st "Power <= \"11111111\" ;"
tm "Actions"
)
exitActions (MLText
uid 1119,0
va (VaSet
)
xt "49074,179400,49074,179400"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1109,0
ps "CenterOffsetStrategy"
text (MLText
uid 1110,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "46974,180600,53674,181800"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*32 (State
uid 1269,0
shape (Circle
uid 1270,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "80675,74692,92227,86244"
radius 5776
)
name (Text
uid 1271,0
va (VaSet
font "Verdana,12,1"
)
xt "82951,79768,89951,81168"
st "accel_11"
ju 0
blo "86451,80968"
tm "ONodeName"
)
wait (TextAssociate
uid 1272,0
ps "CenterOffsetStrategy"
text (Text
uid 1273,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "86201,80668,91301,82068"
st "wait 2"
blo "86201,81868"
tm "SmWaitText"
)
)
encoding (Text
uid 1274,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "86451,81568,86451,81568"
blo "86451,81568"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1277,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1278,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "83151,83168,97751,84568"
)
autoResize 1
tline (Line
uid 1279,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "83251,83068,97651,83068"
pts [
"83251,83068"
"97651,83068"
]
)
bline (Line
uid 1280,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "83251,82468,97651,82468"
pts [
"83251,82468"
"97651,82468"
]
)
ttri (Triangle
uid 1281,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "82801,82693,83151,83043"
)
btri (Triangle
uid 1282,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "82801,80293,83151,80643"
)
entryActions (MLText
uid 1283,0
va (VaSet
)
xt "83251,82868,83251,82868"
tm "Actions"
)
inActions (MLText
uid 1284,0
va (VaSet
)
xt "83251,83268,97651,84468"
st "Power <= \"00011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1285,0
va (VaSet
)
xt "86451,80468,86451,80468"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1275,0
ps "CenterOffsetStrategy"
text (MLText
uid 1276,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "84351,81668,91051,82868"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*33 (State
uid 1286,0
shape (Circle
uid 1287,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "99934,83016,111486,94568"
radius 5776
)
name (Text
uid 1288,0
va (VaSet
font "Verdana,12,1"
)
xt "102210,88092,109210,89492"
st "accel_12"
ju 0
blo "105710,89292"
tm "ONodeName"
)
wait (TextAssociate
uid 1289,0
ps "CenterOffsetStrategy"
text (Text
uid 1290,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "105460,88992,110560,90392"
st "wait 2"
blo "105460,90192"
tm "SmWaitText"
)
)
encoding (Text
uid 1291,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "105710,89892,105710,89892"
blo "105710,89892"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1294,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1295,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "102410,91492,117010,92892"
)
autoResize 1
tline (Line
uid 1296,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102510,91392,116910,91392"
pts [
"102510,91392"
"116910,91392"
]
)
bline (Line
uid 1297,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102510,90792,116910,90792"
pts [
"102510,90792"
"116910,90792"
]
)
ttri (Triangle
uid 1298,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102060,91017,102410,91367"
)
btri (Triangle
uid 1299,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102060,88617,102410,88967"
)
entryActions (MLText
uid 1300,0
va (VaSet
)
xt "102510,91192,102510,91192"
tm "Actions"
)
inActions (MLText
uid 1301,0
va (VaSet
)
xt "102510,91592,116910,92792"
st "Power <= \"00110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1302,0
va (VaSet
)
xt "105710,88792,105710,88792"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1292,0
ps "CenterOffsetStrategy"
text (MLText
uid 1293,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "103610,89992,110310,91192"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*34 (State
uid 1303,0
shape (Circle
uid 1304,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "79373,94309,90925,105861"
radius 5776
)
name (Text
uid 1305,0
va (VaSet
font "Verdana,12,1"
)
xt "81649,99385,88649,100785"
st "accel_13"
ju 0
blo "85149,100585"
tm "ONodeName"
)
wait (TextAssociate
uid 1306,0
ps "CenterOffsetStrategy"
text (Text
uid 1307,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "84899,100285,89999,101685"
st "wait 2"
blo "84899,101485"
tm "SmWaitText"
)
)
encoding (Text
uid 1308,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "85149,101185,85149,101185"
blo "85149,101185"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1311,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1312,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "81849,102785,96449,104185"
)
autoResize 1
tline (Line
uid 1313,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "81949,102685,96349,102685"
pts [
"81949,102685"
"96349,102685"
]
)
bline (Line
uid 1314,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "81949,102085,96349,102085"
pts [
"81949,102085"
"96349,102085"
]
)
ttri (Triangle
uid 1315,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "81499,102310,81849,102660"
)
btri (Triangle
uid 1316,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "81499,99910,81849,100260"
)
entryActions (MLText
uid 1317,0
va (VaSet
)
xt "81949,102485,81949,102485"
tm "Actions"
)
inActions (MLText
uid 1318,0
va (VaSet
)
xt "81949,102885,96349,104085"
st "Power <= \"01001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1319,0
va (VaSet
)
xt "85149,100085,85149,100085"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1309,0
ps "CenterOffsetStrategy"
text (MLText
uid 1310,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "83049,101285,89749,102485"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*35 (State
uid 1320,0
shape (Circle
uid 1321,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "100022,103479,111574,115031"
radius 5776
)
name (Text
uid 1322,0
va (VaSet
font "Verdana,12,1"
)
xt "102298,108555,109298,109955"
st "accel_14"
ju 0
blo "105798,109755"
tm "ONodeName"
)
wait (TextAssociate
uid 1323,0
ps "CenterOffsetStrategy"
text (Text
uid 1324,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "105548,109455,110648,110855"
st "wait 2"
blo "105548,110655"
tm "SmWaitText"
)
)
encoding (Text
uid 1325,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "105798,110355,105798,110355"
blo "105798,110355"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1328,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1329,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "102498,111955,117098,113355"
)
autoResize 1
tline (Line
uid 1330,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102598,111855,116998,111855"
pts [
"102598,111855"
"116998,111855"
]
)
bline (Line
uid 1331,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102598,111255,116998,111255"
pts [
"102598,111255"
"116998,111255"
]
)
ttri (Triangle
uid 1332,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102148,111480,102498,111830"
)
btri (Triangle
uid 1333,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102148,109080,102498,109430"
)
entryActions (MLText
uid 1334,0
va (VaSet
)
xt "102598,111655,102598,111655"
tm "Actions"
)
inActions (MLText
uid 1335,0
va (VaSet
)
xt "102598,112055,116998,113255"
st "Power <= \"01100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1336,0
va (VaSet
)
xt "105798,109255,105798,109255"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1326,0
ps "CenterOffsetStrategy"
text (MLText
uid 1327,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "103698,110455,110398,111655"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*36 (State
uid 1337,0
shape (Circle
uid 1338,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "78196,113722,89748,125274"
radius 5776
)
name (Text
uid 1339,0
va (VaSet
font "Verdana,12,1"
)
xt "80472,118798,87472,120198"
st "accel_15"
ju 0
blo "83972,119998"
tm "ONodeName"
)
wait (TextAssociate
uid 1340,0
ps "CenterOffsetStrategy"
text (Text
uid 1341,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "83722,119698,88822,121098"
st "wait 2"
blo "83722,120898"
tm "SmWaitText"
)
)
encoding (Text
uid 1342,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "83972,120598,83972,120598"
blo "83972,120598"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1345,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1346,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "80672,122198,95272,123598"
)
autoResize 1
tline (Line
uid 1347,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80772,122098,95172,122098"
pts [
"80772,122098"
"95172,122098"
]
)
bline (Line
uid 1348,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80772,121498,95172,121498"
pts [
"80772,121498"
"95172,121498"
]
)
ttri (Triangle
uid 1349,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80322,121723,80672,122073"
)
btri (Triangle
uid 1350,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80322,119323,80672,119673"
)
entryActions (MLText
uid 1351,0
va (VaSet
)
xt "80772,121898,80772,121898"
tm "Actions"
)
inActions (MLText
uid 1352,0
va (VaSet
)
xt "80772,122298,95172,123498"
st "Power <= \"10000000\" ;"
tm "Actions"
)
exitActions (MLText
uid 1353,0
va (VaSet
)
xt "83972,119498,83972,119498"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1343,0
ps "CenterOffsetStrategy"
text (MLText
uid 1344,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "81872,120698,88572,121898"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*37 (State
uid 1354,0
shape (Circle
uid 1355,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "99097,123155,110649,134707"
radius 5776
)
name (Text
uid 1356,0
va (VaSet
font "Verdana,12,1"
)
xt "101373,128231,108373,129631"
st "accel_16"
ju 0
blo "104873,129431"
tm "ONodeName"
)
wait (TextAssociate
uid 1357,0
ps "CenterOffsetStrategy"
text (Text
uid 1358,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "104623,129131,109723,130531"
st "wait 2"
blo "104623,130331"
tm "SmWaitText"
)
)
encoding (Text
uid 1359,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "104873,130031,104873,130031"
blo "104873,130031"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1362,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1363,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "101573,131631,116173,133031"
)
autoResize 1
tline (Line
uid 1364,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101673,131531,116073,131531"
pts [
"101673,131531"
"116073,131531"
]
)
bline (Line
uid 1365,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101673,130931,116073,130931"
pts [
"101673,130931"
"116073,130931"
]
)
ttri (Triangle
uid 1366,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101223,131156,101573,131506"
)
btri (Triangle
uid 1367,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101223,128756,101573,129106"
)
entryActions (MLText
uid 1368,0
va (VaSet
)
xt "101673,131331,101673,131331"
tm "Actions"
)
inActions (MLText
uid 1369,0
va (VaSet
)
xt "101673,131731,116073,132931"
st "Power <= \"10011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1370,0
va (VaSet
)
xt "104873,128931,104873,128931"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1360,0
ps "CenterOffsetStrategy"
text (MLText
uid 1361,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "102773,130131,109473,131331"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*38 (State
uid 1371,0
shape (Circle
uid 1372,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "77431,134200,88983,145752"
radius 5776
)
name (Text
uid 1373,0
va (VaSet
font "Verdana,12,1"
)
xt "79707,139276,86707,140676"
st "accel_17"
ju 0
blo "83207,140476"
tm "ONodeName"
)
wait (TextAssociate
uid 1374,0
ps "CenterOffsetStrategy"
text (Text
uid 1375,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "82957,140176,88057,141576"
st "wait 2"
blo "82957,141376"
tm "SmWaitText"
)
)
encoding (Text
uid 1376,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "83207,141076,83207,141076"
blo "83207,141076"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1379,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1380,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "79907,142676,94507,144076"
)
autoResize 1
tline (Line
uid 1381,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80007,142576,94407,142576"
pts [
"80007,142576"
"94407,142576"
]
)
bline (Line
uid 1382,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "80007,141976,94407,141976"
pts [
"80007,141976"
"94407,141976"
]
)
ttri (Triangle
uid 1383,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79557,142201,79907,142551"
)
btri (Triangle
uid 1384,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "79557,139801,79907,140151"
)
entryActions (MLText
uid 1385,0
va (VaSet
)
xt "80007,142376,80007,142376"
tm "Actions"
)
inActions (MLText
uid 1386,0
va (VaSet
)
xt "80007,142776,94407,143976"
st "Power <= \"10110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1387,0
va (VaSet
)
xt "83207,139976,83207,139976"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1377,0
ps "CenterOffsetStrategy"
text (MLText
uid 1378,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "81107,141176,87807,142376"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*39 (State
uid 1388,0
shape (Circle
uid 1389,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "99021,143646,110573,155198"
radius 5776
)
name (Text
uid 1390,0
va (VaSet
font "Verdana,12,1"
)
xt "101297,148722,108297,150122"
st "accel_18"
ju 0
blo "104797,149922"
tm "ONodeName"
)
wait (TextAssociate
uid 1391,0
ps "CenterOffsetStrategy"
text (Text
uid 1392,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "104547,149622,109647,151022"
st "wait 2"
blo "104547,150822"
tm "SmWaitText"
)
)
encoding (Text
uid 1393,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "104797,150522,104797,150522"
blo "104797,150522"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1396,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1397,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "101497,152122,116097,153522"
)
autoResize 1
tline (Line
uid 1398,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101597,152022,115997,152022"
pts [
"101597,152022"
"115997,152022"
]
)
bline (Line
uid 1399,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101597,151422,115997,151422"
pts [
"101597,151422"
"115997,151422"
]
)
ttri (Triangle
uid 1400,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101147,151647,101497,151997"
)
btri (Triangle
uid 1401,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101147,149247,101497,149597"
)
entryActions (MLText
uid 1402,0
va (VaSet
)
xt "101597,151822,101597,151822"
tm "Actions"
)
inActions (MLText
uid 1403,0
va (VaSet
)
xt "101597,152222,115997,153422"
st "Power <= \"11001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1404,0
va (VaSet
)
xt "104797,149422,104797,149422"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1394,0
ps "CenterOffsetStrategy"
text (MLText
uid 1395,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "102697,150622,109397,151822"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*40 (State
uid 1405,0
shape (Circle
uid 1406,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "76747,152627,88299,164179"
radius 5776
)
name (Text
uid 1407,0
va (VaSet
font "Verdana,12,1"
)
xt "79023,157703,86023,159103"
st "accel_19"
ju 0
blo "82523,158903"
tm "ONodeName"
)
wait (TextAssociate
uid 1408,0
ps "CenterOffsetStrategy"
text (Text
uid 1409,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "82273,158603,87373,160003"
st "wait 2"
blo "82273,159803"
tm "SmWaitText"
)
)
encoding (Text
uid 1410,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "82523,159503,82523,159503"
blo "82523,159503"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1413,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1414,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "78458,161676,93058,163076"
)
autoResize 1
tline (Line
uid 1415,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "78558,161576,92958,161576"
pts [
"78558,161576"
"92958,161576"
]
)
bline (Line
uid 1416,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "78558,160976,92958,160976"
pts [
"78558,160976"
"92958,160976"
]
)
ttri (Triangle
uid 1417,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "78108,161201,78458,161551"
)
btri (Triangle
uid 1418,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "78108,158801,78458,159151"
)
entryActions (MLText
uid 1419,0
va (VaSet
)
xt "78558,161376,78558,161376"
tm "Actions"
)
inActions (MLText
uid 1420,0
va (VaSet
)
xt "78558,161776,92958,162976"
st "Power <= \"11100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1421,0
va (VaSet
)
xt "81758,158976,81758,158976"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1411,0
ps "CenterOffsetStrategy"
text (MLText
uid 1412,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "80423,159603,87123,160803"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*41 (State
uid 1422,0
shape (Circle
uid 1423,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "99126,171975,111558,184407"
radius 6216
)
name (Text
uid 1424,0
va (VaSet
font "Verdana,12,1"
)
xt "102392,177491,108292,178891"
st "CruiseL"
ju 0
blo "105342,178691"
tm "ONodeName"
)
wait (TextAssociate
uid 1425,0
ps "CenterOffsetStrategy"
text (Text
uid 1426,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "105092,178391,110192,179791"
st "wait 2"
blo "105092,179591"
tm "SmWaitText"
)
)
encoding (Text
uid 1427,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "105342,179291,105342,179291"
blo "105342,179291"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1430,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1431,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "102042,180891,116642,182291"
)
autoResize 1
tline (Line
uid 1432,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102142,180791,116542,180791"
pts [
"102142,180791"
"116542,180791"
]
)
bline (Line
uid 1433,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "102142,180191,116542,180191"
pts [
"102142,180191"
"116542,180191"
]
)
ttri (Triangle
uid 1434,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101692,180416,102042,180766"
)
btri (Triangle
uid 1435,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "101692,178016,102042,178366"
)
entryActions (MLText
uid 1436,0
va (VaSet
)
xt "102142,180591,102142,180591"
tm "Actions"
)
inActions (MLText
uid 1437,0
va (VaSet
)
xt "102142,180991,116542,182191"
st "Power <= \"11111111\" ;"
tm "Actions"
)
exitActions (MLText
uid 1438,0
va (VaSet
)
xt "105342,178191,105342,178191"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1428,0
ps "CenterOffsetStrategy"
text (MLText
uid 1429,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "103242,179391,109942,180591"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*42 (State
uid 1569,0
shape (Circle
uid 1570,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "24971,193658,36523,205210"
radius 5776
)
name (Text
uid 1571,0
va (VaSet
font "Verdana,12,1"
)
xt "27647,198734,33847,200134"
st "decel_1"
ju 0
blo "30747,199934"
tm "ONodeName"
)
wait (TextAssociate
uid 1572,0
ps "CenterOffsetStrategy"
text (Text
uid 1573,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "30497,199634,35597,201034"
st "wait 2"
blo "30497,200834"
tm "SmWaitText"
)
)
encoding (Text
uid 1574,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "30747,200534,30747,200534"
blo "30747,200534"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1577,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1578,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "27447,202134,42047,203534"
)
autoResize 1
tline (Line
uid 1579,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "27547,202034,41947,202034"
pts [
"27547,202034"
"41947,202034"
]
)
bline (Line
uid 1580,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "27547,201434,41947,201434"
pts [
"27547,201434"
"41947,201434"
]
)
ttri (Triangle
uid 1581,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "27097,201659,27447,202009"
)
btri (Triangle
uid 1582,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "27097,199259,27447,199609"
)
entryActions (MLText
uid 1583,0
va (VaSet
)
xt "27547,201834,27547,201834"
tm "Actions"
)
inActions (MLText
uid 1584,0
va (VaSet
)
xt "27547,202234,41947,203434"
st "Power <= \"11100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1585,0
va (VaSet
)
xt "30747,199434,30747,199434"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1575,0
ps "CenterOffsetStrategy"
text (MLText
uid 1576,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "28647,200634,35347,201834"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*43 (State
uid 1586,0
shape (Circle
uid 1587,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "44230,201982,55782,213534"
radius 5776
)
name (Text
uid 1588,0
va (VaSet
font "Verdana,12,1"
)
xt "46906,207058,53106,208458"
st "decel_2"
ju 0
blo "50006,208258"
tm "ONodeName"
)
wait (TextAssociate
uid 1589,0
ps "CenterOffsetStrategy"
text (Text
uid 1590,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "49756,207958,54856,209358"
st "wait 2"
blo "49756,209158"
tm "SmWaitText"
)
)
encoding (Text
uid 1591,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "50006,208858,50006,208858"
blo "50006,208858"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1594,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1595,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "46706,210458,61306,211858"
)
autoResize 1
tline (Line
uid 1596,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46806,210358,61206,210358"
pts [
"46806,210358"
"61206,210358"
]
)
bline (Line
uid 1597,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46806,209758,61206,209758"
pts [
"46806,209758"
"61206,209758"
]
)
ttri (Triangle
uid 1598,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46356,209983,46706,210333"
)
btri (Triangle
uid 1599,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46356,207583,46706,207933"
)
entryActions (MLText
uid 1600,0
va (VaSet
)
xt "46806,210158,46806,210158"
tm "Actions"
)
inActions (MLText
uid 1601,0
va (VaSet
)
xt "46806,210558,61206,211758"
st "Power <= \"11001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1602,0
va (VaSet
)
xt "50006,207758,50006,207758"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1592,0
ps "CenterOffsetStrategy"
text (MLText
uid 1593,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "47906,208958,54606,210158"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*44 (State
uid 1603,0
shape (Circle
uid 1604,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "23669,213275,35221,224827"
radius 5776
)
name (Text
uid 1605,0
va (VaSet
font "Verdana,12,1"
)
xt "26345,218351,32545,219751"
st "decel_3"
ju 0
blo "29445,219551"
tm "ONodeName"
)
wait (TextAssociate
uid 1606,0
ps "CenterOffsetStrategy"
text (Text
uid 1607,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "29195,219251,34295,220651"
st "wait 2"
blo "29195,220451"
tm "SmWaitText"
)
)
encoding (Text
uid 1608,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "29445,220151,29445,220151"
blo "29445,220151"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1611,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1612,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "26145,221751,40745,223151"
)
autoResize 1
tline (Line
uid 1613,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "26245,221651,40645,221651"
pts [
"26245,221651"
"40645,221651"
]
)
bline (Line
uid 1614,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "26245,221051,40645,221051"
pts [
"26245,221051"
"40645,221051"
]
)
ttri (Triangle
uid 1615,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "25795,221276,26145,221626"
)
btri (Triangle
uid 1616,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "25795,218876,26145,219226"
)
entryActions (MLText
uid 1617,0
va (VaSet
)
xt "26245,221451,26245,221451"
tm "Actions"
)
inActions (MLText
uid 1618,0
va (VaSet
)
xt "26245,221851,40645,223051"
st "Power <= \"10110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1619,0
va (VaSet
)
xt "29445,219051,29445,219051"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1609,0
ps "CenterOffsetStrategy"
text (MLText
uid 1610,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "27345,220251,34045,221451"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*45 (State
uid 1620,0
shape (Circle
uid 1621,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "44318,222445,55870,233997"
radius 5776
)
name (Text
uid 1622,0
va (VaSet
font "Verdana,12,1"
)
xt "46994,227521,53194,228921"
st "decel_4"
ju 0
blo "50094,228721"
tm "ONodeName"
)
wait (TextAssociate
uid 1623,0
ps "CenterOffsetStrategy"
text (Text
uid 1624,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "49844,228421,54944,229821"
st "wait 2"
blo "49844,229621"
tm "SmWaitText"
)
)
encoding (Text
uid 1625,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "50094,229321,50094,229321"
blo "50094,229321"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1628,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1629,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "46794,230921,61394,232321"
)
autoResize 1
tline (Line
uid 1630,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46894,230821,61294,230821"
pts [
"46894,230821"
"61294,230821"
]
)
bline (Line
uid 1631,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46894,230221,61294,230221"
pts [
"46894,230221"
"61294,230221"
]
)
ttri (Triangle
uid 1632,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46444,230446,46794,230796"
)
btri (Triangle
uid 1633,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "46444,228046,46794,228396"
)
entryActions (MLText
uid 1634,0
va (VaSet
)
xt "46894,230621,46894,230621"
tm "Actions"
)
inActions (MLText
uid 1635,0
va (VaSet
)
xt "46894,231021,61294,232221"
st "Power <= \"10011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1636,0
va (VaSet
)
xt "50094,228221,50094,228221"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1626,0
ps "CenterOffsetStrategy"
text (MLText
uid 1627,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "47994,229421,54694,230621"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*46 (State
uid 1637,0
shape (Circle
uid 1638,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "22492,232688,34044,244240"
radius 5776
)
name (Text
uid 1639,0
va (VaSet
font "Verdana,12,1"
)
xt "25168,237764,31368,239164"
st "decel_5"
ju 0
blo "28268,238964"
tm "ONodeName"
)
wait (TextAssociate
uid 1640,0
ps "CenterOffsetStrategy"
text (Text
uid 1641,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "28018,238664,33118,240064"
st "wait 2"
blo "28018,239864"
tm "SmWaitText"
)
)
encoding (Text
uid 1642,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "28268,239564,28268,239564"
blo "28268,239564"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1645,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1646,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "24968,241164,39568,242564"
)
autoResize 1
tline (Line
uid 1647,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "25068,241064,39468,241064"
pts [
"25068,241064"
"39468,241064"
]
)
bline (Line
uid 1648,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "25068,240464,39468,240464"
pts [
"25068,240464"
"39468,240464"
]
)
ttri (Triangle
uid 1649,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "24618,240689,24968,241039"
)
btri (Triangle
uid 1650,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "24618,238289,24968,238639"
)
entryActions (MLText
uid 1651,0
va (VaSet
)
xt "25068,240864,25068,240864"
tm "Actions"
)
inActions (MLText
uid 1652,0
va (VaSet
)
xt "25068,241264,39468,242464"
st "Power <= \"10000000\" ;"
tm "Actions"
)
exitActions (MLText
uid 1653,0
va (VaSet
)
xt "28268,238464,28268,238464"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1643,0
ps "CenterOffsetStrategy"
text (MLText
uid 1644,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "26168,239664,32868,240864"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*47 (State
uid 1654,0
shape (Circle
uid 1655,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "43393,242121,54945,253673"
radius 5776
)
name (Text
uid 1656,0
va (VaSet
font "Verdana,12,1"
)
xt "46069,247197,52269,248597"
st "decel_6"
ju 0
blo "49169,248397"
tm "ONodeName"
)
wait (TextAssociate
uid 1657,0
ps "CenterOffsetStrategy"
text (Text
uid 1658,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "48919,248097,54019,249497"
st "wait 2"
blo "48919,249297"
tm "SmWaitText"
)
)
encoding (Text
uid 1659,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "49169,248997,49169,248997"
blo "49169,248997"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1662,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1663,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "45869,250597,60469,251997"
)
autoResize 1
tline (Line
uid 1664,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45969,250497,60369,250497"
pts [
"45969,250497"
"60369,250497"
]
)
bline (Line
uid 1665,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45969,249897,60369,249897"
pts [
"45969,249897"
"60369,249897"
]
)
ttri (Triangle
uid 1666,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45519,250122,45869,250472"
)
btri (Triangle
uid 1667,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45519,247722,45869,248072"
)
entryActions (MLText
uid 1668,0
va (VaSet
)
xt "45969,250297,45969,250297"
tm "Actions"
)
inActions (MLText
uid 1669,0
va (VaSet
)
xt "45969,250697,60369,251897"
st "Power <= \"01100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1670,0
va (VaSet
)
xt "49169,247897,49169,247897"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1660,0
ps "CenterOffsetStrategy"
text (MLText
uid 1661,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "47069,249097,53769,250297"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*48 (State
uid 1671,0
shape (Circle
uid 1672,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "21727,253166,33279,264718"
radius 5776
)
name (Text
uid 1673,0
va (VaSet
font "Verdana,12,1"
)
xt "24403,258242,30603,259642"
st "decel_7"
ju 0
blo "27503,259442"
tm "ONodeName"
)
wait (TextAssociate
uid 1674,0
ps "CenterOffsetStrategy"
text (Text
uid 1675,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "27253,259142,32353,260542"
st "wait 2"
blo "27253,260342"
tm "SmWaitText"
)
)
encoding (Text
uid 1676,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "27503,260042,27503,260042"
blo "27503,260042"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1679,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1680,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "24203,261642,38803,263042"
)
autoResize 1
tline (Line
uid 1681,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "24303,261542,38703,261542"
pts [
"24303,261542"
"38703,261542"
]
)
bline (Line
uid 1682,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "24303,260942,38703,260942"
pts [
"24303,260942"
"38703,260942"
]
)
ttri (Triangle
uid 1683,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "23853,261167,24203,261517"
)
btri (Triangle
uid 1684,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "23853,258767,24203,259117"
)
entryActions (MLText
uid 1685,0
va (VaSet
)
xt "24303,261342,24303,261342"
tm "Actions"
)
inActions (MLText
uid 1686,0
va (VaSet
)
xt "24303,261742,38703,262942"
st "Power <= \"01001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1687,0
va (VaSet
)
xt "27503,258942,27503,258942"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1677,0
ps "CenterOffsetStrategy"
text (MLText
uid 1678,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "25403,260142,32103,261342"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*49 (State
uid 1688,0
shape (Circle
uid 1689,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "43317,262612,54869,274164"
radius 5776
)
name (Text
uid 1690,0
va (VaSet
font "Verdana,12,1"
)
xt "45993,267688,52193,269088"
st "decel_8"
ju 0
blo "49093,268888"
tm "ONodeName"
)
wait (TextAssociate
uid 1691,0
ps "CenterOffsetStrategy"
text (Text
uid 1692,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "48843,268588,53943,269988"
st "wait 2"
blo "48843,269788"
tm "SmWaitText"
)
)
encoding (Text
uid 1693,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "49093,269488,49093,269488"
blo "49093,269488"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1696,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1697,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "45793,271088,60393,272488"
)
autoResize 1
tline (Line
uid 1698,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45893,270988,60293,270988"
pts [
"45893,270988"
"60293,270988"
]
)
bline (Line
uid 1699,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45893,270388,60293,270388"
pts [
"45893,270388"
"60293,270388"
]
)
ttri (Triangle
uid 1700,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45443,270613,45793,270963"
)
btri (Triangle
uid 1701,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45443,268213,45793,268563"
)
entryActions (MLText
uid 1702,0
va (VaSet
)
xt "45893,270788,45893,270788"
tm "Actions"
)
inActions (MLText
uid 1703,0
va (VaSet
)
xt "45893,271188,60293,272388"
st "Power <= \"00110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1704,0
va (VaSet
)
xt "49093,268388,49093,268388"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1694,0
ps "CenterOffsetStrategy"
text (MLText
uid 1695,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "46993,269588,53693,270788"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*50 (State
uid 1705,0
shape (Circle
uid 1706,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "21043,271593,32595,283145"
radius 5776
)
name (Text
uid 1707,0
va (VaSet
font "Verdana,12,1"
)
xt "23719,276669,29919,278069"
st "decel_9"
ju 0
blo "26819,277869"
tm "ONodeName"
)
wait (TextAssociate
uid 1708,0
ps "CenterOffsetStrategy"
text (Text
uid 1709,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "26569,277569,31669,278969"
st "wait 2"
blo "26569,278769"
tm "SmWaitText"
)
)
encoding (Text
uid 1710,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "26819,278469,26819,278469"
blo "26819,278469"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1713,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1714,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "22754,280642,37354,282042"
)
autoResize 1
tline (Line
uid 1715,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "22854,280542,37254,280542"
pts [
"22854,280542"
"37254,280542"
]
)
bline (Line
uid 1716,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "22854,279942,37254,279942"
pts [
"22854,279942"
"37254,279942"
]
)
ttri (Triangle
uid 1717,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "22404,280167,22754,280517"
)
btri (Triangle
uid 1718,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "22404,277767,22754,278117"
)
entryActions (MLText
uid 1719,0
va (VaSet
)
xt "22854,280342,22854,280342"
tm "Actions"
)
inActions (MLText
uid 1720,0
va (VaSet
)
xt "22854,280742,37254,281942"
st "Power <= \"00011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1721,0
va (VaSet
)
xt "26054,277942,26054,277942"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1711,0
ps "CenterOffsetStrategy"
text (MLText
uid 1712,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "24719,278569,31419,279769"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*51 (State
uid 1722,0
shape (Circle
uid 1723,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "75959,301050,88391,313482"
radius 6216
)
name (Text
uid 1724,0
va (VaSet
font "Verdana,12,1"
)
xt "80325,306566,84025,307966"
st "stop"
ju 0
blo "82175,307766"
tm "ONodeName"
)
wait (TextAssociate
uid 1725,0
ps "CenterOffsetStrategy"
text (Text
uid 1726,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "81925,307466,87025,308866"
st "wait 2"
blo "81925,308666"
tm "SmWaitText"
)
)
encoding (Text
uid 1727,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "82175,308366,82175,308366"
blo "82175,308366"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1730,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1731,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth 2
)
xt "82282,310681,82482,310881"
)
autoResize 1
tline (Line
uid 1732,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth 2
)
xt "75182,309981,89582,309981"
pts [
"75182,309981"
"89582,309981"
]
)
bline (Line
uid 1733,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth 2
)
xt "75182,309381,89582,309381"
pts [
"75182,309381"
"89582,309381"
]
)
ttri (Triangle
uid 1734,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "77932,309006,78282,309356"
)
btri (Triangle
uid 1735,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "77932,307206,78282,307556"
)
entryActions (MLText
uid 1736,0
va (VaSet
)
xt "75182,308581,89582,309781"
tm "Actions"
)
inActions (MLText
uid 1737,0
va (VaSet
)
xt "75182,310181,89582,311381"
tm "Actions"
)
exitActions (MLText
uid 1738,0
va (VaSet
)
xt "78382,307381,78382,307381"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1728,0
ps "CenterOffsetStrategy"
text (MLText
uid 1729,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "80075,308466,86775,309666"
st "CASE: expr"
tm "SmCaseExpr"
)
)
impLoop 0
)
*52 (State
uid 1885,0
shape (Circle
uid 1886,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "121657,196149,133209,207701"
radius 5776
)
name (Text
uid 1887,0
va (VaSet
font "Verdana,12,1"
)
xt "123883,201225,130983,202625"
st "decel_10"
ju 0
blo "127433,202425"
tm "ONodeName"
)
wait (TextAssociate
uid 1888,0
ps "CenterOffsetStrategy"
text (Text
uid 1889,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "127183,202125,132283,203525"
st "wait 2"
blo "127183,203325"
tm "SmWaitText"
)
)
encoding (Text
uid 1890,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "127433,203025,127433,203025"
blo "127433,203025"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1893,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1894,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "124133,204625,138733,206025"
)
autoResize 1
tline (Line
uid 1895,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "124233,204525,138633,204525"
pts [
"124233,204525"
"138633,204525"
]
)
bline (Line
uid 1896,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "124233,203925,138633,203925"
pts [
"124233,203925"
"138633,203925"
]
)
ttri (Triangle
uid 1897,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "123783,204150,124133,204500"
)
btri (Triangle
uid 1898,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "123783,201750,124133,202100"
)
entryActions (MLText
uid 1899,0
va (VaSet
)
xt "124233,204325,124233,204325"
tm "Actions"
)
inActions (MLText
uid 1900,0
va (VaSet
)
xt "124233,204725,138633,205925"
st "Power <= \"11100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1901,0
va (VaSet
)
xt "127433,201925,127433,201925"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1891,0
ps "CenterOffsetStrategy"
text (MLText
uid 1892,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "125333,203125,132033,204325"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*53 (State
uid 1902,0
shape (Circle
uid 1903,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "140916,204473,152468,216025"
radius 5776
)
name (Text
uid 1904,0
va (VaSet
font "Verdana,12,1"
)
xt "143142,209549,150242,210949"
st "decel_11"
ju 0
blo "146692,210749"
tm "ONodeName"
)
wait (TextAssociate
uid 1905,0
ps "CenterOffsetStrategy"
text (Text
uid 1906,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "146442,210449,151542,211849"
st "wait 2"
blo "146442,211649"
tm "SmWaitText"
)
)
encoding (Text
uid 1907,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "146692,211349,146692,211349"
blo "146692,211349"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1910,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1911,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "143392,212949,157992,214349"
)
autoResize 1
tline (Line
uid 1912,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143492,212849,157892,212849"
pts [
"143492,212849"
"157892,212849"
]
)
bline (Line
uid 1913,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143492,212249,157892,212249"
pts [
"143492,212249"
"157892,212249"
]
)
ttri (Triangle
uid 1914,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143042,212474,143392,212824"
)
btri (Triangle
uid 1915,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143042,210074,143392,210424"
)
entryActions (MLText
uid 1916,0
va (VaSet
)
xt "143492,212649,143492,212649"
tm "Actions"
)
inActions (MLText
uid 1917,0
va (VaSet
)
xt "143492,213049,157892,214249"
st "Power <= \"11001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 1918,0
va (VaSet
)
xt "146692,210249,146692,210249"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1908,0
ps "CenterOffsetStrategy"
text (MLText
uid 1909,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "144592,211449,151292,212649"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*54 (State
uid 1919,0
shape (Circle
uid 1920,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "120355,215766,131907,227318"
radius 5776
)
name (Text
uid 1921,0
va (VaSet
font "Verdana,12,1"
)
xt "122581,220842,129681,222242"
st "decel_12"
ju 0
blo "126131,222042"
tm "ONodeName"
)
wait (TextAssociate
uid 1922,0
ps "CenterOffsetStrategy"
text (Text
uid 1923,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "125881,221742,130981,223142"
st "wait 2"
blo "125881,222942"
tm "SmWaitText"
)
)
encoding (Text
uid 1924,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "126131,222642,126131,222642"
blo "126131,222642"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1927,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1928,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "122831,224242,137431,225642"
)
autoResize 1
tline (Line
uid 1929,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "122931,224142,137331,224142"
pts [
"122931,224142"
"137331,224142"
]
)
bline (Line
uid 1930,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "122931,223542,137331,223542"
pts [
"122931,223542"
"137331,223542"
]
)
ttri (Triangle
uid 1931,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "122481,223767,122831,224117"
)
btri (Triangle
uid 1932,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "122481,221367,122831,221717"
)
entryActions (MLText
uid 1933,0
va (VaSet
)
xt "122931,223942,122931,223942"
tm "Actions"
)
inActions (MLText
uid 1934,0
va (VaSet
)
xt "122931,224342,137331,225542"
st "Power <= \"10110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 1935,0
va (VaSet
)
xt "126131,221542,126131,221542"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1925,0
ps "CenterOffsetStrategy"
text (MLText
uid 1926,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "124031,222742,130731,223942"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*55 (State
uid 1936,0
shape (Circle
uid 1937,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "141004,224936,152556,236488"
radius 5776
)
name (Text
uid 1938,0
va (VaSet
font "Verdana,12,1"
)
xt "143230,230012,150330,231412"
st "decel_13"
ju 0
blo "146780,231212"
tm "ONodeName"
)
wait (TextAssociate
uid 1939,0
ps "CenterOffsetStrategy"
text (Text
uid 1940,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "146530,230912,151630,232312"
st "wait 2"
blo "146530,232112"
tm "SmWaitText"
)
)
encoding (Text
uid 1941,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "146780,231812,146780,231812"
blo "146780,231812"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1944,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1945,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "143480,233412,158080,234812"
)
autoResize 1
tline (Line
uid 1946,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143580,233312,157980,233312"
pts [
"143580,233312"
"157980,233312"
]
)
bline (Line
uid 1947,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143580,232712,157980,232712"
pts [
"143580,232712"
"157980,232712"
]
)
ttri (Triangle
uid 1948,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143130,232937,143480,233287"
)
btri (Triangle
uid 1949,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "143130,230537,143480,230887"
)
entryActions (MLText
uid 1950,0
va (VaSet
)
xt "143580,233112,143580,233112"
tm "Actions"
)
inActions (MLText
uid 1951,0
va (VaSet
)
xt "143580,233512,157980,234712"
st "Power <= \"10011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 1952,0
va (VaSet
)
xt "146780,230712,146780,230712"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1942,0
ps "CenterOffsetStrategy"
text (MLText
uid 1943,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "144680,231912,151380,233112"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*56 (State
uid 1953,0
shape (Circle
uid 1954,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "119178,235179,130730,246731"
radius 5776
)
name (Text
uid 1955,0
va (VaSet
font "Verdana,12,1"
)
xt "121404,240255,128504,241655"
st "decel_14"
ju 0
blo "124954,241455"
tm "ONodeName"
)
wait (TextAssociate
uid 1956,0
ps "CenterOffsetStrategy"
text (Text
uid 1957,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "124704,241155,129804,242555"
st "wait 2"
blo "124704,242355"
tm "SmWaitText"
)
)
encoding (Text
uid 1958,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "124954,242055,124954,242055"
blo "124954,242055"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1961,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1962,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "121654,243655,136254,245055"
)
autoResize 1
tline (Line
uid 1963,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "121754,243555,136154,243555"
pts [
"121754,243555"
"136154,243555"
]
)
bline (Line
uid 1964,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "121754,242955,136154,242955"
pts [
"121754,242955"
"136154,242955"
]
)
ttri (Triangle
uid 1965,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "121304,243180,121654,243530"
)
btri (Triangle
uid 1966,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "121304,240780,121654,241130"
)
entryActions (MLText
uid 1967,0
va (VaSet
)
xt "121754,243355,121754,243355"
tm "Actions"
)
inActions (MLText
uid 1968,0
va (VaSet
)
xt "121754,243755,136154,244955"
st "Power <= \"10000000\" ;"
tm "Actions"
)
exitActions (MLText
uid 1969,0
va (VaSet
)
xt "124954,240955,124954,240955"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1959,0
ps "CenterOffsetStrategy"
text (MLText
uid 1960,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "122854,242155,129554,243355"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*57 (State
uid 1970,0
shape (Circle
uid 1971,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "140079,244612,151631,256164"
radius 5776
)
name (Text
uid 1972,0
va (VaSet
font "Verdana,12,1"
)
xt "142305,249688,149405,251088"
st "decel_15"
ju 0
blo "145855,250888"
tm "ONodeName"
)
wait (TextAssociate
uid 1973,0
ps "CenterOffsetStrategy"
text (Text
uid 1974,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "145605,250588,150705,251988"
st "wait 2"
blo "145605,251788"
tm "SmWaitText"
)
)
encoding (Text
uid 1975,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "145855,251488,145855,251488"
blo "145855,251488"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1978,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1979,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "142555,253088,157155,254488"
)
autoResize 1
tline (Line
uid 1980,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142655,252988,157055,252988"
pts [
"142655,252988"
"157055,252988"
]
)
bline (Line
uid 1981,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142655,252388,157055,252388"
pts [
"142655,252388"
"157055,252388"
]
)
ttri (Triangle
uid 1982,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142205,252613,142555,252963"
)
btri (Triangle
uid 1983,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142205,250213,142555,250563"
)
entryActions (MLText
uid 1984,0
va (VaSet
)
xt "142655,252788,142655,252788"
tm "Actions"
)
inActions (MLText
uid 1985,0
va (VaSet
)
xt "142655,253188,157055,254388"
st "Power <= \"01100110\" ;"
tm "Actions"
)
exitActions (MLText
uid 1986,0
va (VaSet
)
xt "145855,250388,145855,250388"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1976,0
ps "CenterOffsetStrategy"
text (MLText
uid 1977,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "143755,251588,150455,252788"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*58 (State
uid 1987,0
shape (Circle
uid 1988,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "118413,255657,129965,267209"
radius 5776
)
name (Text
uid 1989,0
va (VaSet
font "Verdana,12,1"
)
xt "120639,260733,127739,262133"
st "decel_16"
ju 0
blo "124189,261933"
tm "ONodeName"
)
wait (TextAssociate
uid 1990,0
ps "CenterOffsetStrategy"
text (Text
uid 1991,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "123939,261633,129039,263033"
st "wait 2"
blo "123939,262833"
tm "SmWaitText"
)
)
encoding (Text
uid 1992,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "124189,262533,124189,262533"
blo "124189,262533"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 1995,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1996,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "120889,264133,135489,265533"
)
autoResize 1
tline (Line
uid 1997,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "120989,264033,135389,264033"
pts [
"120989,264033"
"135389,264033"
]
)
bline (Line
uid 1998,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "120989,263433,135389,263433"
pts [
"120989,263433"
"135389,263433"
]
)
ttri (Triangle
uid 1999,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "120539,263658,120889,264008"
)
btri (Triangle
uid 2000,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "120539,261258,120889,261608"
)
entryActions (MLText
uid 2001,0
va (VaSet
)
xt "120989,263833,120989,263833"
tm "Actions"
)
inActions (MLText
uid 2002,0
va (VaSet
)
xt "120989,264233,135389,265433"
st "Power <= \"01001101\" ;"
tm "Actions"
)
exitActions (MLText
uid 2003,0
va (VaSet
)
xt "124189,261433,124189,261433"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 1993,0
ps "CenterOffsetStrategy"
text (MLText
uid 1994,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "122089,262633,128789,263833"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*59 (State
uid 2004,0
shape (Circle
uid 2005,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "140003,265103,151555,276655"
radius 5776
)
name (Text
uid 2006,0
va (VaSet
font "Verdana,12,1"
)
xt "142229,270179,149329,271579"
st "decel_17"
ju 0
blo "145779,271379"
tm "ONodeName"
)
wait (TextAssociate
uid 2007,0
ps "CenterOffsetStrategy"
text (Text
uid 2008,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "145529,271079,150629,272479"
st "wait 2"
blo "145529,272279"
tm "SmWaitText"
)
)
encoding (Text
uid 2009,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "145779,271979,145779,271979"
blo "145779,271979"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 2012,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2013,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "142479,273579,157079,274979"
)
autoResize 1
tline (Line
uid 2014,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142579,273479,156979,273479"
pts [
"142579,273479"
"156979,273479"
]
)
bline (Line
uid 2015,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142579,272879,156979,272879"
pts [
"142579,272879"
"156979,272879"
]
)
ttri (Triangle
uid 2016,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142129,273104,142479,273454"
)
btri (Triangle
uid 2017,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "142129,270704,142479,271054"
)
entryActions (MLText
uid 2018,0
va (VaSet
)
xt "142579,273279,142579,273279"
tm "Actions"
)
inActions (MLText
uid 2019,0
va (VaSet
)
xt "142579,273679,156979,274879"
st "Power <= \"00110011\" ;"
tm "Actions"
)
exitActions (MLText
uid 2020,0
va (VaSet
)
xt "145779,270879,145779,270879"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 2010,0
ps "CenterOffsetStrategy"
text (MLText
uid 2011,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "143679,272079,150379,273279"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*60 (State
uid 2021,0
shape (Circle
uid 2022,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "117729,274084,129281,285636"
radius 5776
)
name (Text
uid 2023,0
va (VaSet
font "Verdana,12,1"
)
xt "119955,279160,127055,280560"
st "decel_18"
ju 0
blo "123505,280360"
tm "ONodeName"
)
wait (TextAssociate
uid 2024,0
ps "CenterOffsetStrategy"
text (Text
uid 2025,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "123255,280060,128355,281460"
st "wait 2"
blo "123255,281260"
tm "SmWaitText"
)
)
encoding (Text
uid 2026,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "123505,280960,123505,280960"
blo "123505,280960"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 2029,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2030,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "119440,283133,134040,284533"
)
autoResize 1
tline (Line
uid 2031,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "119540,283033,133940,283033"
pts [
"119540,283033"
"133940,283033"
]
)
bline (Line
uid 2032,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "119540,282433,133940,282433"
pts [
"119540,282433"
"133940,282433"
]
)
ttri (Triangle
uid 2033,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "119090,282658,119440,283008"
)
btri (Triangle
uid 2034,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "119090,280258,119440,280608"
)
entryActions (MLText
uid 2035,0
va (VaSet
)
xt "119540,282833,119540,282833"
tm "Actions"
)
inActions (MLText
uid 2036,0
va (VaSet
)
xt "119540,283233,133940,284433"
st "Power <= \"00011010\" ;"
tm "Actions"
)
exitActions (MLText
uid 2037,0
va (VaSet
)
xt "122740,280433,122740,280433"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 2027,0
ps "CenterOffsetStrategy"
text (MLText
uid 2028,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "121405,281060,128105,282260"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*61 (InterruptPoint
uid 2155,0
shape (CompositeShape
uid 2156,0
va (VaSet
vasetType 1
fg "65535,0,0"
)
optionalChildren [
(Pentagon
uid 2157,0
sl 0
ro 270
xt "1789,24688,4039,25688"
)
(Line
uid 2158,0
sl 0
ro 270
xt "4039,25188,4539,25188"
pts [
"4039,25188"
"4539,25188"
]
)
(CustomPolygon
pts [
"2039,25413"
"2039,25113"
"2689,25238"
"2589,24963"
"3689,25163"
"2864,25163"
"3039,25413"
]
uid 2159,0
sl 0
ro 270
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "65535,0,0"
lineColor "65535,65535,0"
)
xt "2039,24963,3689,25413"
)
]
)
)
*62 (Link
uid 2170,0
shape (CompositeShape
uid 2171,0
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "0,0,0"
)
optionalChildren [
(Pentagon
uid 2172,0
sl 0
ro 270
xt "18247,24653,20497,25653"
)
(Line
uid 2173,0
sl 0
ro 270
xt "17747,25153,18247,25153"
pts [
"17747,25153"
"18247,25153"
]
)
]
)
name (TextAssociate
uid 2174,0
ps "CenterOffsetStrategy"
text (Text
uid 2175,0
va (VaSet
font "Verdana,9,1"
)
xt "20997,24653,22697,25853"
st "s0"
blo "20997,25653"
tm "LinkName"
)
)
)
*63 (SmResetPoint
uid 2366,0
shape (CompositeShape
uid 2367,0
va (VaSet
vasetType 1
fg "65535,65535,0"
)
optionalChildren [
(Pentagon
uid 2368,0
sl 0
ro 270
xt "4498,19420,6748,20420"
)
(OrthoPolyLine
uid 2369,0
sl 0
ro 270
va (VaSet
vasetType 3
)
xt "5398,19620,5997,20220"
pts [
"5997,19620"
"5697,19620"
"5697,20220"
"5398,20220"
]
)
(Line
uid 2370,0
sl 0
ro 270
xt "4747,19745,4847,19795"
pts [
"4747,19795"
"4847,19745"
]
)
(Line
uid 2371,0
sl 0
ro 270
xt "4747,19745,4747,20095"
pts [
"4747,20095"
"4747,19745"
]
)
(Circle
uid 2372,0
layer 10
sl 0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,0"
)
xt "5547,19770,5847,20070"
radius 150
)
]
)
cond (SmControlCondition
uid 2378,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2379,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineColor "0,32768,49152"
)
xt "6798,36720,13598,38120"
)
autoResize 1
cond (MLText
uid 2380,0
va (VaSet
isHidden 1
)
xt "6898,36820,13498,38020"
st "reset = '1'"
tm "SmControlConditionMgr"
)
)
prio (TransitionPriority
uid 2375,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2376,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "12248,38857,14374,40983"
radius 1063
)
pr (Text
uid 2377,0
va (VaSet
isHidden 1
)
xt "12611,39320,14011,40520"
st "1"
ju 0
blo "13311,40320"
tm "TransitionPriority"
)
padding "100,100"
)
name (TextAssociate
uid 2373,0
ps "CenterOffsetStrategy"
text (Text
uid 2374,0
va (VaSet
)
xt "1198,19320,4498,20520"
st "reset"
ju 2
blo "4498,20320"
tm "SmControlSignalNameMgr"
)
)
actions (TextAssociate
uid 2381,0
ps "CenterOffsetStrategy"
text (MLText
uid 2382,0
va (VaSet
isHidden 1
)
xt "18748,45545,27348,46745"
st "< Automatic >"
tm "Actions"
)
)
level 1
)
*64 (Link
uid 2383,0
shape (CompositeShape
uid 2384,0
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "0,0,0"
)
optionalChildren [
(Pentagon
uid 2385,0
sl 0
ro 270
xt "18248,19420,20498,20420"
)
(Line
uid 2386,0
sl 0
ro 270
xt "17748,19920,18248,19920"
pts [
"17748,19920"
"18248,19920"
]
)
]
)
name (TextAssociate
uid 2387,0
ps "CenterOffsetStrategy"
text (Text
uid 2388,0
va (VaSet
font "Verdana,9,1"
)
xt "20998,19420,22698,20620"
st "s0"
blo "20998,20420"
tm "LinkName"
)
)
)
*65 (SmClockPoint
uid 2389,0
shape (CompositeShape
uid 2390,0
va (VaSet
vasetType 1
fg "65535,65535,0"
)
optionalChildren [
(Pentagon
uid 2391,0
sl 0
ro 270
xt "4498,10420,6748,11420"
)
(OrthoPolyLine
uid 2392,0
sl 0
ro 270
va (VaSet
vasetType 3
)
xt "5398,10620,5997,11220"
pts [
"5398,11220"
"5697,11220"
"5697,10620"
"5997,10620"
]
)
(Arc2D
pts [
"5007,11073"
"4752,10767"
"5007,10767"
]
uid 2393,0
sl 0
ro 270
va (VaSet
vasetType 1
transparent 1
)
xt "4679,10720,5007,11119"
)
]
)
name (TextAssociate
uid 2394,0
ps "CenterOffsetStrategy"
text (Text
uid 2395,0
va (VaSet
)
xt "598,10420,3998,11620"
st "clock"
ju 2
blo "3998,11420"
tm "SmControlSignalNameMgr"
)
)
cond (SmControlCondition
uid 2396,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2397,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,32768,49152"
)
xt "6748,10220,24048,11620"
)
autoResize 1
cond (MLText
uid 2398,0
va (VaSet
)
xt "6848,10320,23948,11520"
st "clock'EVENT AND clock = '1'"
tm "SmControlConditionMgr"
)
)
)
*66 (InterruptPoint
uid 2442,0
shape (CompositeShape
uid 2443,0
va (VaSet
vasetType 1
fg "65535,0,0"
)
optionalChildren [
(Pentagon
uid 2444,0
sl 0
ro 270
xt "1902,33364,4152,34364"
)
(Line
uid 2445,0
sl 0
ro 270
xt "4152,33864,4652,33864"
pts [
"4152,33864"
"4652,33864"
]
)
(CustomPolygon
pts [
"2152,34089"
"2152,33789"
"2802,33914"
"2702,33639"
"3802,33839"
"2977,33839"
"3152,34089"
]
uid 2446,0
sl 0
ro 270
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "65535,0,0"
lineColor "65535,65535,0"
)
xt "2152,33639,3802,34089"
)
]
)
)
*67 (Link
uid 2447,0
shape (CompositeShape
uid 2448,0
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "0,0,0"
)
optionalChildren [
(Pentagon
uid 2449,0
sl 0
ro 270
xt "20148,33364,22398,34364"
)
(Line
uid 2450,0
sl 0
ro 270
xt "19648,33864,20148,33864"
pts [
"19648,33864"
"20148,33864"
]
)
]
)
name (TextAssociate
uid 2451,0
ps "CenterOffsetStrategy"
text (Text
uid 2452,0
va (VaSet
font "Verdana,9,1"
)
xt "22898,33364,24598,34564"
st "s0"
blo "22898,34364"
tm "LinkName"
)
)
)
*68 (State
uid 3522,0
shape (Circle
uid 3523,0
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "54235,7212,61337,14314"
radius 3551
)
name (Text
uid 3524,0
va (VaSet
font "Verdana,12,1"
)
xt "56536,10063,59036,11463"
st "s0"
ju 0
blo "57786,11263"
tm "ONodeName"
)
wait (TextAssociate
uid 3525,0
ps "CenterOffsetStrategy"
text (Text
uid 3526,0
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "57536,10963,62636,12363"
st "wait 2"
blo "57536,12163"
tm "SmWaitText"
)
)
encoding (Text
uid 3527,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "57786,11863,57786,11863"
blo "57786,11863"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
uid 3530,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 3531,0
va (VaSet
vasetType 1
transparent 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
fillStyle 1
)
xt "45355,14607,59655,18407"
)
autoResize 1
tline (Line
uid 3532,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45455,14507,59555,14507"
pts [
"45455,14507"
"59555,14507"
]
)
bline (Line
uid 3533,0
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45455,15707,59555,15707"
pts [
"45455,15707"
"59555,15707"
]
)
ttri (Triangle
uid 3534,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45005,14132,45355,14482"
)
btri (Triangle
uid 3535,0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "45005,12932,45355,13282"
)
entryActions (MLText
uid 3536,0
va (VaSet
)
xt "45455,14307,45455,14307"
tm "Actions"
)
inActions (MLText
uid 3537,0
va (VaSet
)
xt "45455,14707,59555,18307"
st "RaZ <= '0';
unlock <= '1' ;
Power <= \"00000000\";"
tm "Actions"
)
exitActions (MLText
uid 3538,0
va (VaSet
)
xt "48505,13107,48505,13107"
tm "Actions"
)
)
caseExpr (TextAssociate
uid 3528,0
ps "CenterOffsetStrategy"
text (MLText
uid 3529,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "55686,11963,62386,13163"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
*69 (Transition
uid 114,0
shape (Spline
uid 115,0
va (VaSet
vasetType 3
)
xt "5050,15000,16500,15000"
pts [
"5050,15000"
"16500,15000"
]
)
start &3
end &4
ss 0
es 0
tb (TransitionBlock
uid 116,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 117,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "7625,14495,13925,15505"
)
autoResize 1
lineShape (Line
uid 118,0
va (VaSet
vasetType 3
isHidden 1
)
xt "10775,16000,10775,16000"
pts [
"10775,16000"
"10775,16000"
]
)
condition (MLText
uid 119,0
va (VaSet
)
xt "8125,14400,13425,15600"
tm "Condition"
)
actions (MLText
uid 120,0
va (VaSet
)
xt "10775,16000,10775,16000"
tm "Actions"
)
)
tp (TransitionPriority
uid 121,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 122,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "5132,13937,7258,16063"
radius 1063
)
pr (Text
uid 123,0
va (VaSet
isHidden 1
)
xt "5495,14400,6895,15600"
st "1"
ju 0
blo "6195,15400"
tm "TransitionPriority"
)
padding "100,100"
)
)
*70 (Transition
uid 272,0
shape (Spline
uid 273,0
va (VaSet
vasetType 3
)
xt "36553,18239,69463,34256"
pts [
"69463,18239"
"56276,20372"
"41181,25258"
"36553,34256"
]
arrow 1
)
start &2
end &17
cond "button = \"0010\""
tb (TransitionBlock
uid 274,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 275,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "43129,24235,66529,28435"
)
autoResize 1
lineShape (Line
uid 276,0
va (VaSet
vasetType 3
)
xt "43629,26335,66029,26335"
pts [
"43629,26335"
"66029,26335"
]
)
condition (MLText
uid 277,0
va (VaSet
)
xt "49979,24735,59679,25935"
st "button = \"0010\""
tm "Condition"
)
actions (MLText
uid 278,0
va (VaSet
)
xt "43629,26735,66029,27935"
st "CurrentPos <= \"0000000000000000\";"
tm "Actions"
)
)
tp (TransitionPriority
uid 279,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 280,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "64474,17701,66600,19827"
radius 1063
)
pr (Text
uid 281,0
va (VaSet
)
xt "64837,18164,66237,19364"
st "1"
ju 0
blo "65537,19164"
tm "TransitionPriority"
)
padding "100,100"
)
)
*71 (Transition
uid 282,0
shape (Spline
uid 283,0
va (VaSet
vasetType 3
)
xt "73066,21711,73275,33333"
pts [
"73066,21711"
"73275,33333"
]
arrow 1
)
start &2
end &16
cond "button = \"0100\""
tb (TransitionBlock
uid 284,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 285,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "72901,26176,96301,30376"
)
autoResize 1
lineShape (Line
uid 286,0
va (VaSet
vasetType 3
)
xt "73401,28276,95801,28276"
pts [
"73401,28276"
"95801,28276"
]
)
condition (MLText
uid 287,0
va (VaSet
)
xt "79751,26676,89451,27876"
st "button = \"0100\""
tm "Condition"
)
actions (MLText
uid 288,0
va (VaSet
)
xt "73401,28676,95801,29876"
st "CurrentPos <= \"0000000000000000\";"
tm "Actions"
)
)
tp (TransitionPriority
uid 289,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 290,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "72023,21809,74149,23935"
radius 1063
)
pr (Text
uid 291,0
va (VaSet
)
xt "72386,22272,73786,23472"
st "3"
ju 0
blo "73086,23272"
tm "TransitionPriority"
)
padding "100,100"
)
)
*72 (Transition
uid 292,0
shape (Spline
uid 293,0
va (VaSet
vasetType 3
)
xt "76486,18884,120443,30283"
pts [
"76486,18884"
"114057,21175"
"120443,30283"
]
arrow 1
)
start &2
end &18
cond "button = \"0001\""
tb (TransitionBlock
uid 294,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 295,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "113557,20675,124257,22875"
)
autoResize 1
lineShape (Line
uid 296,0
va (VaSet
vasetType 3
isHidden 1
)
xt "116707,22775,116707,22775"
pts [
"116707,22775"
"116707,22775"
]
)
condition (MLText
uid 297,0
va (VaSet
)
xt "114057,21175,123757,22375"
st "button = \"0001\""
tm "Condition"
)
actions (MLText
uid 298,0
va (VaSet
)
xt "118907,22775,118907,22775"
tm "Actions"
)
)
tp (TransitionPriority
uid 299,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 300,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "80402,18005,82528,20131"
radius 1063
)
pr (Text
uid 301,0
va (VaSet
)
xt "80765,18468,82165,19668"
st "2"
ju 0
blo "81465,19468"
tm "TransitionPriority"
)
padding "100,100"
)
)
*73 (Transition
uid 346,0
shape (Spline
uid 347,0
va (VaSet
vasetType 3
)
xt "120081,41630,120705,48021"
pts [
"120081,41630"
"120705,48021"
]
arrow 1
)
start &18
end &19
cond "sensor1 = '1'"
tb (TransitionBlock
uid 348,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 349,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "119893,44326,128993,46526"
)
autoResize 1
lineShape (Line
uid 350,0
va (VaSet
vasetType 3
isHidden 1
)
xt "123043,46426,123043,46426"
pts [
"123043,46426"
"123043,46426"
]
)
condition (MLText
uid 351,0
va (VaSet
)
xt "120393,44826,128493,46026"
st "sensor1 = '1'"
tm "Condition"
)
actions (MLText
uid 352,0
va (VaSet
)
xt "124443,46426,124443,46426"
tm "Actions"
)
)
tp (TransitionPriority
uid 353,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 354,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "119080,41206,121206,43332"
radius 1063
)
pr (Text
uid 355,0
va (VaSet
isHidden 1
)
xt "119443,41669,120843,42869"
st "1"
ju 0
blo "120143,42669"
tm "TransitionPriority"
)
padding "100,100"
)
)
*74 (Transition
uid 356,0
shape (Spline
uid 357,0
va (VaSet
vasetType 3
)
xt "75904,5305,143678,50697"
pts [
"124057,50697"
"142872,45913"
"135072,9891"
"89490,6450"
"75904,16106"
]
arrow 1
)
start &19
end &2
ss 0
es 0
tb (TransitionBlock
uid 358,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 359,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "134572,9986,140872,10996"
)
autoResize 1
lineShape (Line
uid 360,0
va (VaSet
vasetType 3
isHidden 1
)
xt "137722,11491,137722,11491"
pts [
"137722,11491"
"137722,11491"
]
)
condition (MLText
uid 361,0
va (VaSet
)
xt "135072,9891,140372,11091"
tm "Condition"
)
actions (MLText
uid 362,0
va (VaSet
)
xt "137722,11491,137722,11491"
tm "Actions"
)
)
tp (TransitionPriority
uid 363,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 364,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "135227,49238,137353,51364"
radius 1063
)
pr (Text
uid 365,0
va (VaSet
isHidden 1
)
xt "135590,49701,136990,50901"
st "1"
ju 0
blo "136290,50701"
tm "TransitionPriority"
)
padding "100,100"
)
)
*75 (Transition
uid 434,0
shape (Spline
uid 435,0
va (VaSet
vasetType 3
)
xt "27229,45982,35236,62340"
pts [
"35236,45982"
"27229,57939"
"34465,62340"
]
arrow 1
)
start &17
end &20
es 0
cond "Position < Pos1"
tb (TransitionBlock
uid 436,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 437,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "16654,56323,27054,60123"
)
autoResize 1
lineShape (Line
uid 438,0
va (VaSet
vasetType 3
)
xt "17154,58223,26554,58223"
pts [
"17154,58223"
"26554,58223"
]
)
condition (MLText
uid 439,0
va (VaSet
)
xt "17154,56623,26554,57823"
st "Position < Pos1"
tm "Condition"
)
actions (MLText
uid 440,0
va (VaSet
)
xt "17954,58623,25754,59823"
st "sideL <= '0';"
tm "Actions"
)
)
tp (TransitionPriority
uid 441,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 442,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "32749,46778,34875,48904"
radius 1063
)
pr (Text
uid 443,0
va (VaSet
)
xt "33112,47241,34512,48441"
st "2"
ju 0
blo "33812,48241"
tm "TransitionPriority"
)
padding "100,100"
)
)
*76 (Transition
uid 444,0
shape (Spline
uid 445,0
va (VaSet
vasetType 3
)
xt "38368,45982,72337,64270"
pts [
"38392,45982"
"42185,55769"
"72337,64270"
]
arrow 1
)
start &17
end &21
es 0
cond "Position > Pos1"
tb (TransitionBlock
uid 446,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 447,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "34056,53997,44456,57797"
)
autoResize 1
lineShape (Line
uid 448,0
va (VaSet
vasetType 3
)
xt "34556,55897,43956,55897"
pts [
"34556,55897"
"43956,55897"
]
)
condition (MLText
uid 449,0
va (VaSet
)
xt "34556,54297,43956,55497"
st "Position > Pos1"
tm "Condition"
)
actions (MLText
uid 450,0
va (VaSet
)
xt "35206,56297,43306,57497"
st "sideL <= '1' ;"
tm "Actions"
)
)
tp (TransitionPriority
uid 451,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 452,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "37334,49173,39460,51299"
radius 1063
)
pr (Text
uid 453,0
va (VaSet
)
xt "37697,49636,39097,50836"
st "1"
ju 0
blo "38397,50636"
tm "TransitionPriority"
)
padding "100,100"
)
)
*77 (Transition
uid 1140,0
shape (Spline
uid 1141,0
va (VaSet
vasetType 3
)
xt "42324,82013,50683,86922"
pts [
"42324,82013"
"50683,86922"
]
arrow 1
)
start &22
end &23
cond "Position >= CurrentPos + 109"
tb (TransitionBlock
uid 1142,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1143,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "43556,82071,61856,84271"
)
autoResize 1
lineShape (Line
uid 1144,0
va (VaSet
vasetType 3
isHidden 1
)
xt "46706,84171,46706,84171"
pts [
"46706,84171"
"46706,84171"
]
)
condition (MLText
uid 1145,0
va (VaSet
)
xt "44056,82571,61356,83771"
st "Position >= CurrentPos + 109"
tm "Condition"
)
actions (MLText
uid 1146,0
va (VaSet
)
xt "52706,84171,52706,84171"
tm "Actions"
)
)
tp (TransitionPriority
uid 1147,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1148,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "42096,81440,44222,83566"
radius 1063
)
pr (Text
uid 1149,0
va (VaSet
isHidden 1
)
xt "42459,81903,43859,83103"
st "1"
ju 0
blo "43159,82903"
tm "TransitionPriority"
)
padding "100,100"
)
)
*78 (Transition
uid 1150,0
shape (Spline
uid 1151,0
va (VaSet
vasetType 3
)
xt "40376,92231,50813,97964"
pts [
"50813,92231"
"40376,97964"
]
arrow 1
)
start &23
end &24
ss 0
es 0
cond "Position >= CurrentPos + 246"
tb (TransitionBlock
uid 1152,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1153,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "44054,94353,62354,96553"
)
autoResize 1
lineShape (Line
uid 1154,0
va (VaSet
vasetType 3
isHidden 1
)
xt "47204,96453,47204,96453"
pts [
"47204,96453"
"47204,96453"
]
)
condition (MLText
uid 1155,0
va (VaSet
)
xt "44554,94853,61854,96053"
st "Position >= CurrentPos + 246"
tm "Condition"
)
actions (MLText
uid 1156,0
va (VaSet
)
xt "53204,96453,53204,96453"
tm "Actions"
)
)
tp (TransitionPriority
uid 1157,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1158,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "48706,91740,50832,93866"
radius 1063
)
pr (Text
uid 1159,0
va (VaSet
isHidden 1
)
xt "49069,92203,50469,93403"
st "1"
ju 0
blo "49769,93203"
tm "TransitionPriority"
)
padding "100,100"
)
)
*79 (Transition
uid 1160,0
shape (Spline
uid 1161,0
va (VaSet
vasetType 3
)
xt "40593,103088,50684,107570"
pts [
"40593,103088"
"50684,107570"
]
arrow 1
)
start &24
end &25
ss 0
es 0
cond "Position >= CurrentPos + 437"
tb (TransitionBlock
uid 1162,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1163,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "45139,104829,63439,107029"
)
autoResize 1
lineShape (Line
uid 1164,0
va (VaSet
vasetType 3
isHidden 1
)
xt "48289,106929,48289,106929"
pts [
"48289,106929"
"48289,106929"
]
)
condition (MLText
uid 1165,0
va (VaSet
)
xt "45639,105329,62939,106529"
st "Position >= CurrentPos + 437"
tm "Condition"
)
actions (MLText
uid 1166,0
va (VaSet
)
xt "54289,106929,54289,106929"
tm "Actions"
)
)
tp (TransitionPriority
uid 1167,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1168,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "40539,102473,42665,104599"
radius 1063
)
pr (Text
uid 1169,0
va (VaSet
isHidden 1
)
xt "40902,102936,42302,104136"
st "1"
ju 0
blo "41602,103936"
tm "TransitionPriority"
)
padding "100,100"
)
)
*80 (Transition
uid 1170,0
shape (Spline
uid 1171,0
va (VaSet
vasetType 3
)
xt "39366,112368,50735,117704"
pts [
"50735,112368"
"39366,117704"
]
arrow 1
)
start &25
end &26
ss 0
es 0
cond "Position >= CurrentPos + 684"
tb (TransitionBlock
uid 1172,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1173,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "44550,114536,62850,116736"
)
autoResize 1
lineShape (Line
uid 1174,0
va (VaSet
vasetType 3
isHidden 1
)
xt "47700,116636,47700,116636"
pts [
"47700,116636"
"47700,116636"
]
)
condition (MLText
uid 1175,0
va (VaSet
)
xt "45050,115036,62350,116236"
st "Position >= CurrentPos + 684"
tm "Condition"
)
actions (MLText
uid 1176,0
va (VaSet
)
xt "53700,116636,53700,116636"
tm "Actions"
)
)
tp (TransitionPriority
uid 1177,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1178,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "48535,111838,50661,113964"
radius 1063
)
pr (Text
uid 1179,0
va (VaSet
isHidden 1
)
xt "48898,112301,50298,113501"
st "1"
ju 0
blo "49598,113301"
tm "TransitionPriority"
)
padding "100,100"
)
)
*81 (Transition
uid 1180,0
shape (Spline
uid 1181,0
va (VaSet
vasetType 3
)
xt "39401,122533,49774,127214"
pts [
"39401,122533"
"49774,127214"
]
arrow 1
)
start &26
end &27
ss 0
es 0
cond "Position >= CurrentPos + 984"
tb (TransitionBlock
uid 1182,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1183,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "44088,124374,62388,126574"
)
autoResize 1
lineShape (Line
uid 1184,0
va (VaSet
vasetType 3
isHidden 1
)
xt "47238,126474,47238,126474"
pts [
"47238,126474"
"47238,126474"
]
)
condition (MLText
uid 1185,0
va (VaSet
)
xt "44588,124874,61888,126074"
st "Position >= CurrentPos + 984"
tm "Condition"
)
actions (MLText
uid 1186,0
va (VaSet
)
xt "53238,126474,53238,126474"
tm "Actions"
)
)
tp (TransitionPriority
uid 1187,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1188,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "39375,121938,41501,124064"
radius 1063
)
pr (Text
uid 1189,0
va (VaSet
isHidden 1
)
xt "39738,122401,41138,123601"
st "1"
ju 0
blo "40438,123401"
tm "TransitionPriority"
)
padding "100,100"
)
)
*82 (Transition
uid 1190,0
shape (Spline
uid 1191,0
va (VaSet
vasetType 3
)
xt "38518,132213,49892,138012"
pts [
"49892,132213"
"38518,138012"
]
arrow 1
)
start &27
end &28
ss 0
es 0
cond "Position >= CurrentPos + 1340"
tb (TransitionBlock
uid 1192,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1193,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "43705,134613,62705,136813"
)
autoResize 1
lineShape (Line
uid 1194,0
va (VaSet
vasetType 3
isHidden 1
)
xt "46855,136713,46855,136713"
pts [
"46855,136713"
"46855,136713"
]
)
condition (MLText
uid 1195,0
va (VaSet
)
xt "44205,135113,62205,136313"
st "Position >= CurrentPos + 1340"
tm "Condition"
)
actions (MLText
uid 1196,0
va (VaSet
)
xt "53205,136713,53205,136713"
tm "Actions"
)
)
tp (TransitionPriority
uid 1197,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1198,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "47692,131729,49818,133855"
radius 1063
)
pr (Text
uid 1199,0
va (VaSet
isHidden 1
)
xt "48055,132192,49455,133392"
st "1"
ju 0
blo "48755,133192"
tm "TransitionPriority"
)
padding "100,100"
)
)
*83 (Transition
uid 1200,0
shape (Spline
uid 1201,0
va (VaSet
vasetType 3
)
xt "38663,142950,49671,147766"
pts [
"38663,142950"
"49671,147766"
]
arrow 1
)
start &28
end &29
ss 0
es 0
cond "Position >= CurrentPos + 1750"
tb (TransitionBlock
uid 1202,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1203,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "43667,144858,62667,147058"
)
autoResize 1
lineShape (Line
uid 1204,0
va (VaSet
vasetType 3
isHidden 1
)
xt "46817,146958,46817,146958"
pts [
"46817,146958"
"46817,146958"
]
)
condition (MLText
uid 1205,0
va (VaSet
)
xt "44167,145358,62167,146558"
st "Position >= CurrentPos + 1750"
tm "Condition"
)
actions (MLText
uid 1206,0
va (VaSet
)
xt "53167,146958,53167,146958"
tm "Actions"
)
)
tp (TransitionPriority
uid 1207,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1208,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "38700,142368,40826,144494"
radius 1063
)
pr (Text
uid 1209,0
va (VaSet
isHidden 1
)
xt "39063,142831,40463,144031"
st "1"
ju 0
blo "39763,143831"
tm "TransitionPriority"
)
padding "100,100"
)
)
*84 (Transition
uid 1210,0
shape (Spline
uid 1211,0
va (VaSet
vasetType 3
)
xt "38045,152240,49605,156902"
pts [
"49605,152240"
"38045,156902"
]
arrow 1
)
start &29
end &30
ss 0
es 0
cond "Position >= CurrentPos + 2215"
tb (TransitionBlock
uid 1212,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1213,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "43325,154071,62325,156271"
)
autoResize 1
lineShape (Line
uid 1214,0
va (VaSet
vasetType 3
isHidden 1
)
xt "46475,156171,46475,156171"
pts [
"46475,156171"
"46475,156171"
]
)
condition (MLText
uid 1215,0
va (VaSet
)
xt "43825,154571,61825,155771"
st "Position >= CurrentPos + 2215"
tm "Condition"
)
actions (MLText
uid 1216,0
va (VaSet
)
xt "52825,156171,52825,156171"
tm "Actions"
)
)
tp (TransitionPriority
uid 1217,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1218,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "47386,151643,49512,153769"
radius 1063
)
pr (Text
uid 1219,0
va (VaSet
isHidden 1
)
xt "47749,152106,49149,153306"
st "1"
ju 0
blo "48449,153106"
tm "TransitionPriority"
)
padding "100,100"
)
)
*85 (Transition
uid 1220,0
shape (Spline
uid 1221,0
va (VaSet
vasetType 3
)
xt "27089,164617,42893,178747"
pts [
"31108,164617"
"27549,177125"
"42893,178747"
]
arrow 1
)
start &30
end &31
ss 0
es 0
cond "Position >= CurrentPos + 2734"
tb (TransitionBlock
uid 1222,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1223,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "17306,171294,36306,173494"
)
autoResize 1
lineShape (Line
uid 1224,0
va (VaSet
vasetType 3
isHidden 1
)
xt "20456,173394,20456,173394"
pts [
"20456,173394"
"20456,173394"
]
)
condition (MLText
uid 1225,0
va (VaSet
)
xt "17806,171794,35806,172994"
st "Position >= CurrentPos + 2734"
tm "Condition"
)
actions (MLText
uid 1226,0
va (VaSet
)
xt "26806,173394,26806,173394"
tm "Actions"
)
)
tp (TransitionPriority
uid 1227,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1228,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "28885,166216,31011,168342"
radius 1063
)
pr (Text
uid 1229,0
va (VaSet
isHidden 1
)
xt "29248,166679,30648,167879"
st "1"
ju 0
blo "29948,167679"
tm "TransitionPriority"
)
padding "100,100"
)
)
*86 (Transition
uid 1259,0
shape (Spline
uid 1260,0
va (VaSet
vasetType 3
)
xt "34370,69056,38281,114386"
pts [
"38281,69056"
"34370,114386"
]
arrow 1
)
start &20
end &26
ss 0
tb (TransitionBlock
uid 1261,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1262,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "35825,91816,42125,92826"
)
autoResize 1
lineShape (Line
uid 1263,0
va (VaSet
vasetType 3
isHidden 1
)
xt "38975,93321,38975,93321"
pts [
"38975,93321"
"38975,93321"
]
)
condition (MLText
uid 1264,0
va (VaSet
)
xt "36325,91721,41625,92921"
tm "Condition"
)
actions (MLText
uid 1265,0
va (VaSet
)
xt "38975,93321,38975,93321"
tm "Actions"
)
)
tp (TransitionPriority
uid 1266,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1267,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "36826,72525,38952,74651"
radius 1063
)
pr (Text
uid 1268,0
va (VaSet
isHidden 1
)
xt "37189,72988,38589,74188"
st "1"
ju 0
blo "37889,73988"
tm "TransitionPriority"
)
padding "100,100"
)
)
*87 (Transition
uid 1439,0
shape (Spline
uid 1440,0
va (VaSet
vasetType 3
)
xt "87880,151581,99440,156243"
pts [
"99440,151581"
"87880,156243"
]
arrow 1
)
start &39
end &40
ss 0
es 0
cond "Position <= CurrentPos - 2215"
tb (TransitionBlock
uid 1441,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1442,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93160,153412,111960,155612"
)
autoResize 1
lineShape (Line
uid 1443,0
va (VaSet
vasetType 3
isHidden 1
)
xt "96310,155512,96310,155512"
pts [
"96310,155512"
"96310,155512"
]
)
condition (MLText
uid 1444,0
va (VaSet
)
xt "93660,153912,111460,155112"
st "Position <= CurrentPos - 2215"
tm "Condition"
)
actions (MLText
uid 1445,0
va (VaSet
)
xt "102560,155512,102560,155512"
tm "Actions"
)
)
tp (TransitionPriority
uid 1446,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1447,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "97221,150984,99347,153110"
radius 1063
)
pr (Text
uid 1448,0
va (VaSet
isHidden 1
)
xt "97584,151447,98984,152647"
st "1"
ju 0
blo "98284,152447"
tm "TransitionPriority"
)
padding "100,100"
)
)
*88 (Transition
uid 1449,0
shape (Spline
uid 1450,0
va (VaSet
vasetType 3
)
xt "82271,164173,99629,187961"
pts [
"82776,164173"
"83799,187419"
"99629,180638"
]
arrow 1
)
start &40
end &41
ss 0
es 0
cond "Position <= CurrentPos - 2734"
tb (TransitionBlock
uid 1451,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1452,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "74476,174603,93276,176803"
)
autoResize 1
lineShape (Line
uid 1453,0
va (VaSet
vasetType 3
isHidden 1
)
xt "77626,176703,77626,176703"
pts [
"77626,176703"
"77626,176703"
]
)
condition (MLText
uid 1454,0
va (VaSet
)
xt "74976,175103,92776,176303"
st "Position <= CurrentPos - 2734"
tm "Condition"
)
actions (MLText
uid 1455,0
va (VaSet
)
xt "83876,176703,83876,176703"
tm "Actions"
)
)
tp (TransitionPriority
uid 1456,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1457,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "81588,167245,83714,169371"
radius 1063
)
pr (Text
uid 1458,0
va (VaSet
isHidden 1
)
xt "81951,167708,83351,168908"
st "1"
ju 0
blo "82651,168708"
tm "TransitionPriority"
)
padding "100,100"
)
)
*89 (Transition
uid 1459,0
shape (Spline
uid 1460,0
va (VaSet
vasetType 3
)
xt "90428,102429,100519,106911"
pts [
"90428,102429"
"100519,106911"
]
arrow 1
)
start &34
end &35
ss 0
es 0
cond "Position <= CurrentPos - 437"
tb (TransitionBlock
uid 1461,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1462,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "94974,104170,113074,106370"
)
autoResize 1
lineShape (Line
uid 1463,0
va (VaSet
vasetType 3
isHidden 1
)
xt "98124,106270,98124,106270"
pts [
"98124,106270"
"98124,106270"
]
)
condition (MLText
uid 1464,0
va (VaSet
)
xt "95474,104670,112574,105870"
st "Position <= CurrentPos - 437"
tm "Condition"
)
actions (MLText
uid 1465,0
va (VaSet
)
xt "104024,106270,104024,106270"
tm "Actions"
)
)
tp (TransitionPriority
uid 1466,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1467,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "90374,101814,92500,103940"
radius 1063
)
pr (Text
uid 1468,0
va (VaSet
isHidden 1
)
xt "90737,102277,92137,103477"
st "1"
ju 0
blo "91437,103277"
tm "TransitionPriority"
)
padding "100,100"
)
)
*90 (Transition
uid 1469,0
shape (Spline
uid 1470,0
va (VaSet
vasetType 3
)
xt "89236,121874,99609,126555"
pts [
"89236,121874"
"99609,126555"
]
arrow 1
)
start &36
end &37
ss 0
es 0
cond "Position <= CurrentPos - 984"
tb (TransitionBlock
uid 1471,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1472,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93923,123715,112023,125915"
)
autoResize 1
lineShape (Line
uid 1473,0
va (VaSet
vasetType 3
isHidden 1
)
xt "97073,125815,97073,125815"
pts [
"97073,125815"
"97073,125815"
]
)
condition (MLText
uid 1474,0
va (VaSet
)
xt "94423,124215,111523,125415"
st "Position <= CurrentPos - 984"
tm "Condition"
)
actions (MLText
uid 1475,0
va (VaSet
)
xt "102973,125815,102973,125815"
tm "Actions"
)
)
tp (TransitionPriority
uid 1476,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1477,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "89210,121279,91336,123405"
radius 1063
)
pr (Text
uid 1478,0
va (VaSet
isHidden 1
)
xt "89573,121742,90973,122942"
st "1"
ju 0
blo "90273,122742"
tm "TransitionPriority"
)
padding "100,100"
)
)
*91 (Transition
uid 1479,0
shape (Spline
uid 1480,0
va (VaSet
vasetType 3
)
xt "88353,131554,99727,137353"
pts [
"99727,131554"
"88353,137353"
]
arrow 1
)
start &37
end &38
ss 0
es 0
cond "Position <= CurrentPos - 1340"
tb (TransitionBlock
uid 1481,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1482,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93540,133954,112340,136154"
)
autoResize 1
lineShape (Line
uid 1483,0
va (VaSet
vasetType 3
isHidden 1
)
xt "96690,136054,96690,136054"
pts [
"96690,136054"
"96690,136054"
]
)
condition (MLText
uid 1484,0
va (VaSet
)
xt "94040,134454,111840,135654"
st "Position <= CurrentPos - 1340"
tm "Condition"
)
actions (MLText
uid 1485,0
va (VaSet
)
xt "102940,136054,102940,136054"
tm "Actions"
)
)
tp (TransitionPriority
uid 1486,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1487,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "97527,131070,99653,133196"
radius 1063
)
pr (Text
uid 1488,0
va (VaSet
isHidden 1
)
xt "97890,131533,99290,132733"
st "1"
ju 0
blo "98590,132533"
tm "TransitionPriority"
)
padding "100,100"
)
)
*92 (Transition
uid 1489,0
shape (Spline
uid 1490,0
va (VaSet
vasetType 3
)
xt "89201,111709,100570,117045"
pts [
"100570,111709"
"89201,117045"
]
arrow 1
)
start &35
end &36
ss 0
es 0
cond "Position <= CurrentPos - 684"
tb (TransitionBlock
uid 1491,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1492,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "94385,113877,112485,116077"
)
autoResize 1
lineShape (Line
uid 1493,0
va (VaSet
vasetType 3
isHidden 1
)
xt "97535,115977,97535,115977"
pts [
"97535,115977"
"97535,115977"
]
)
condition (MLText
uid 1494,0
va (VaSet
)
xt "94885,114377,111985,115577"
st "Position <= CurrentPos - 684"
tm "Condition"
)
actions (MLText
uid 1495,0
va (VaSet
)
xt "103435,115977,103435,115977"
tm "Actions"
)
)
tp (TransitionPriority
uid 1496,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1497,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "98370,111179,100496,113305"
radius 1063
)
pr (Text
uid 1498,0
va (VaSet
isHidden 1
)
xt "98733,111642,100133,112842"
st "1"
ju 0
blo "99433,112642"
tm "TransitionPriority"
)
padding "100,100"
)
)
*93 (Transition
uid 1499,0
shape (Spline
uid 1500,0
va (VaSet
vasetType 3
)
xt "92159,81354,100518,86263"
pts [
"92159,81354"
"100518,86263"
]
arrow 1
)
start &32
end &33
cond "Position <= CurrentPos - 109"
tb (TransitionBlock
uid 1501,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1502,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93391,81412,111491,83612"
)
autoResize 1
lineShape (Line
uid 1503,0
va (VaSet
vasetType 3
isHidden 1
)
xt "96541,83512,96541,83512"
pts [
"96541,83512"
"96541,83512"
]
)
condition (MLText
uid 1504,0
va (VaSet
)
xt "93891,81912,110991,83112"
st "Position <= CurrentPos - 109"
tm "Condition"
)
actions (MLText
uid 1505,0
va (VaSet
)
xt "102441,83512,102441,83512"
tm "Actions"
)
)
tp (TransitionPriority
uid 1506,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1507,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "91931,80781,94057,82907"
radius 1063
)
pr (Text
uid 1508,0
va (VaSet
isHidden 1
)
xt "92294,81244,93694,82444"
st "1"
ju 0
blo "92994,82244"
tm "TransitionPriority"
)
padding "100,100"
)
)
*94 (Transition
uid 1509,0
shape (Spline
uid 1510,0
va (VaSet
vasetType 3
)
xt "90211,91572,100648,97305"
pts [
"100648,91572"
"90211,97305"
]
arrow 1
)
start &33
end &34
ss 0
es 0
cond "Position <= CurrentPos - 246"
tb (TransitionBlock
uid 1511,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1512,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93889,93694,111989,95894"
)
autoResize 1
lineShape (Line
uid 1513,0
va (VaSet
vasetType 3
isHidden 1
)
xt "97039,95794,97039,95794"
pts [
"97039,95794"
"97039,95794"
]
)
condition (MLText
uid 1514,0
va (VaSet
)
xt "94389,94194,111489,95394"
st "Position <= CurrentPos - 246"
tm "Condition"
)
actions (MLText
uid 1515,0
va (VaSet
)
xt "102939,95794,102939,95794"
tm "Actions"
)
)
tp (TransitionPriority
uid 1516,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1517,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "98541,91081,100667,93207"
radius 1063
)
pr (Text
uid 1518,0
va (VaSet
isHidden 1
)
xt "98904,91544,100304,92744"
st "1"
ju 0
blo "99604,92544"
tm "TransitionPriority"
)
padding "100,100"
)
)
*95 (Transition
uid 1519,0
shape (Spline
uid 1520,0
va (VaSet
vasetType 3
)
xt "88498,142291,99506,147107"
pts [
"88498,142291"
"99506,147107"
]
arrow 1
)
start &38
end &39
ss 0
es 0
cond "Position <= CurrentPos - 1750"
tb (TransitionBlock
uid 1521,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1522,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "93502,144199,112302,146399"
)
autoResize 1
lineShape (Line
uid 1523,0
va (VaSet
vasetType 3
isHidden 1
)
xt "96652,146299,96652,146299"
pts [
"96652,146299"
"96652,146299"
]
)
condition (MLText
uid 1524,0
va (VaSet
)
xt "94002,144699,111802,145899"
st "Position <= CurrentPos - 1750"
tm "Condition"
)
actions (MLText
uid 1525,0
va (VaSet
)
xt "102902,146299,102902,146299"
tm "Actions"
)
)
tp (TransitionPriority
uid 1526,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1527,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "88535,141709,90661,143835"
radius 1063
)
pr (Text
uid 1528,0
va (VaSet
isHidden 1
)
xt "88898,142172,90298,143372"
st "1"
ju 0
blo "89598,143172"
tm "TransitionPriority"
)
padding "100,100"
)
)
*96 (Transition
uid 1529,0
shape (Spline
uid 1530,0
va (VaSet
vasetType 3
)
xt "76569,69623,83124,113785"
pts [
"76569,69623"
"83124,113785"
]
arrow 1
)
start &21
end &36
es 0
tb (TransitionBlock
uid 1531,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1532,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "79347,91799,85647,92809"
)
autoResize 1
lineShape (Line
uid 1533,0
va (VaSet
vasetType 3
isHidden 1
)
xt "82497,93304,82497,93304"
pts [
"82497,93304"
"82497,93304"
]
)
condition (MLText
uid 1534,0
va (VaSet
)
xt "79847,91704,85147,92904"
tm "Condition"
)
actions (MLText
uid 1535,0
va (VaSet
)
xt "82497,93304,82497,93304"
tm "Actions"
)
)
tp (TransitionPriority
uid 1536,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1537,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "76161,72975,78287,75101"
radius 1063
)
pr (Text
uid 1538,0
va (VaSet
isHidden 1
)
xt "76524,73438,77924,74638"
st "1"
ju 0
blo "77224,74438"
tm "TransitionPriority"
)
padding "100,100"
)
)
*97 (Transition
uid 1539,0
shape (Spline
uid 1540,0
va (VaSet
vasetType 3
)
xt "42817,46833,73332,63158"
pts [
"73332,46833"
"53644,58692"
"42817,63158"
]
arrow 1
)
start &16
end &20
es 0
cond "Position < Pos2"
tb (TransitionBlock
uid 1541,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1542,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "55461,49734,65861,53534"
)
autoResize 1
lineShape (Line
uid 1543,0
va (VaSet
vasetType 3
)
xt "55961,51634,65361,51634"
pts [
"55961,51634"
"65361,51634"
]
)
condition (MLText
uid 1544,0
va (VaSet
)
xt "55961,50034,65361,51234"
st "Position < Pos2"
tm "Condition"
)
actions (MLText
uid 1545,0
va (VaSet
)
xt "56761,52034,64561,53234"
st "sideL <= '0';"
tm "Actions"
)
)
tp (TransitionPriority
uid 1546,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1547,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "69311,47586,71437,49712"
radius 1063
)
pr (Text
uid 1548,0
va (VaSet
)
xt "69674,48049,71074,49249"
st "2"
ju 0
blo "70374,49049"
tm "TransitionPriority"
)
padding "100,100"
)
)
*98 (Transition
uid 1549,0
shape (Spline
uid 1550,0
va (VaSet
vasetType 3
)
xt "76779,46833,81007,61271"
pts [
"76779,46833"
"80991,54579"
"78234,61271"
]
arrow 1
)
start &16
end &21
ss 0
es 0
cond "Position > Pos2"
tb (TransitionBlock
uid 1551,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1552,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "80491,54279,90891,58079"
)
autoResize 1
lineShape (Line
uid 1553,0
va (VaSet
vasetType 3
)
xt "80991,56179,90391,56179"
pts [
"80991,56179"
"90391,56179"
]
)
condition (MLText
uid 1554,0
va (VaSet
)
xt "80991,54579,90391,55779"
st "Position > Pos2"
tm "Condition"
)
actions (MLText
uid 1555,0
va (VaSet
)
xt "81641,56579,89741,57779"
st "sideL <= '1' ;"
tm "Actions"
)
)
tp (TransitionPriority
uid 1556,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1557,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "76598,47130,78724,49256"
radius 1063
)
pr (Text
uid 1558,0
va (VaSet
)
xt "76961,47593,78361,48793"
st "1"
ju 0
blo "77661,48593"
tm "TransitionPriority"
)
padding "100,100"
)
)
*99 (Transition
uid 1739,0
shape (Spline
uid 1740,0
va (VaSet
vasetType 3
)
xt "32176,270547,43736,275209"
pts [
"43736,270547"
"32176,275209"
]
arrow 1
)
start &49
end &50
ss 0
es 0
cond "(Position >= Pos1 - 109 AND button = \"0010\") OR (Position >= Pos2 - 109 AND button = \"0100\")"
tb (TransitionBlock
uid 1741,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1742,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-29133,272990,24867,275190"
)
autoResize 1
lineShape (Line
uid 1743,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-25983,275090,-25983,275090"
pts [
"-25983,275090"
"-25983,275090"
]
)
condition (MLText
uid 1744,0
va (VaSet
)
xt "-28633,273490,24367,274690"
st "(Position >= Pos1 - 109 AND button = \"0010\") OR (Position >= Pos2 - 109 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1745,0
va (VaSet
)
xt "-2133,275090,-2133,275090"
tm "Actions"
)
)
tp (TransitionPriority
uid 1746,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1747,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "41517,269950,43643,272076"
radius 1063
)
pr (Text
uid 1748,0
va (VaSet
isHidden 1
)
xt "41880,270413,43280,271613"
st "1"
ju 0
blo "42580,271413"
tm "TransitionPriority"
)
padding "100,100"
)
)
*100 (Transition
uid 1749,0
shape (Spline
uid 1750,0
va (VaSet
vasetType 3
)
xt "31901,280113,76706,304313"
pts [
"31901,280113"
"76706,304313"
]
arrow 1
)
start &50
end &51
ss 0
es 0
cond "(Position >= Pos1 AND button = \"0010\") OR (Position >= Pos2 AND button = \"0100\")"
tb (TransitionBlock
uid 1751,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1752,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-2173,293431,45427,295631"
)
autoResize 1
lineShape (Line
uid 1753,0
va (VaSet
vasetType 3
isHidden 1
)
xt "977,295531,977,295531"
pts [
"977,295531"
"977,295531"
]
)
condition (MLText
uid 1754,0
va (VaSet
)
xt "-1673,293931,44927,295131"
st "(Position >= Pos1 AND button = \"0010\") OR (Position >= Pos2 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1755,0
va (VaSet
)
xt "21627,295531,21627,295531"
tm "Actions"
)
)
tp (TransitionPriority
uid 1756,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1757,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "35318,281469,37444,283595"
radius 1063
)
pr (Text
uid 1758,0
va (VaSet
isHidden 1
)
xt "35681,281932,37081,283132"
st "1"
ju 0
blo "36381,282932"
tm "TransitionPriority"
)
padding "100,100"
)
)
*101 (Transition
uid 1759,0
shape (Spline
uid 1760,0
va (VaSet
vasetType 3
)
xt "34724,221395,44815,225877"
pts [
"34724,221395"
"44815,225877"
]
arrow 1
)
start &44
end &45
ss 0
es 0
cond "(Position >= Pos1 - 1340 AND button = \"0010\") OR (Position >= Pos2 - 1340 AND button = \"0100\")"
tb (TransitionBlock
uid 1761,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1762,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-29577,224383,25823,226583"
)
autoResize 1
lineShape (Line
uid 1763,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-26427,226483,-26427,226483"
pts [
"-26427,226483"
"-26427,226483"
]
)
condition (MLText
uid 1764,0
va (VaSet
)
xt "-29077,224883,25323,226083"
st "(Position >= Pos1 - 1340 AND button = \"0010\") OR (Position >= Pos2 - 1340 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1765,0
va (VaSet
)
xt "-1877,226483,-1877,226483"
tm "Actions"
)
)
tp (TransitionPriority
uid 1766,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1767,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "34670,220780,36796,222906"
radius 1063
)
pr (Text
uid 1768,0
va (VaSet
isHidden 1
)
xt "35033,221243,36433,222443"
st "1"
ju 0
blo "35733,222243"
tm "TransitionPriority"
)
padding "100,100"
)
)
*102 (Transition
uid 1769,0
shape (Spline
uid 1770,0
va (VaSet
vasetType 3
)
xt "33532,240840,43905,245521"
pts [
"33532,240840"
"43905,245521"
]
arrow 1
)
start &46
end &47
ss 0
es 0
cond "(Position >= Pos1 - 684 AND button = \"0010\") OR (Position >= Pos2 - 684 AND button = \"0100\")"
tb (TransitionBlock
uid 1771,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1772,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-29687,244493,24313,246693"
)
autoResize 1
lineShape (Line
uid 1773,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-26537,246593,-26537,246593"
pts [
"-26537,246593"
"-26537,246593"
]
)
condition (MLText
uid 1774,0
va (VaSet
)
xt "-29187,244993,23813,246193"
st "(Position >= Pos1 - 684 AND button = \"0010\") OR (Position >= Pos2 - 684 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1775,0
va (VaSet
)
xt "-2687,246593,-2687,246593"
tm "Actions"
)
)
tp (TransitionPriority
uid 1776,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1777,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "33506,240245,35632,242371"
radius 1063
)
pr (Text
uid 1778,0
va (VaSet
isHidden 1
)
xt "33869,240708,35269,241908"
st "1"
ju 0
blo "34569,241708"
tm "TransitionPriority"
)
padding "100,100"
)
)
*103 (Transition
uid 1779,0
shape (Spline
uid 1780,0
va (VaSet
vasetType 3
)
xt "51894,252989,78331,302382"
pts [
"51894,252989"
"78331,302382"
]
arrow 1
)
start &47
end &51
ss 0
cond "(Position >= Pos1 - 437 AND button = \"0010\") OR (Position >= Pos2 - 437 AND button = \"0100\")"
tb (TransitionBlock
uid 1781,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1782,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-2493,276950,51507,279150"
)
autoResize 1
lineShape (Line
uid 1783,0
va (VaSet
vasetType 3
isHidden 1
)
xt "657,279050,657,279050"
pts [
"657,279050"
"657,279050"
]
)
condition (MLText
uid 1784,0
va (VaSet
)
xt "-1993,277450,51007,278650"
st "(Position >= Pos1 - 437 AND button = \"0010\") OR (Position >= Pos2 - 437 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1785,0
va (VaSet
)
xt "24507,279050,24507,279050"
tm "Actions"
)
)
tp (TransitionPriority
uid 1786,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1787,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "53474,256865,55600,258991"
radius 1063
)
pr (Text
uid 1788,0
va (VaSet
isHidden 1
)
xt "53837,257328,55237,258528"
st "1"
ju 0
blo "54537,258328"
tm "TransitionPriority"
)
padding "100,100"
)
)
*104 (Transition
uid 1789,0
shape (Spline
uid 1790,0
va (VaSet
vasetType 3
)
xt "33497,230675,44866,236011"
pts [
"44866,230675"
"33497,236011"
]
arrow 1
)
start &45
end &46
ss 0
es 0
cond "(Position >= Pos1 - 984 AND button = \"0010\") OR (Position >= Pos2 - 984 AND button = \"0100\")"
tb (TransitionBlock
uid 1791,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1792,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-29437,233313,24563,235513"
)
autoResize 1
lineShape (Line
uid 1793,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-26287,235413,-26287,235413"
pts [
"-26287,235413"
"-26287,235413"
]
)
condition (MLText
uid 1794,0
va (VaSet
)
xt "-28937,233813,24063,235013"
st "(Position >= Pos1 - 984 AND button = \"0010\") OR (Position >= Pos2 - 984 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1795,0
va (VaSet
)
xt "-2437,235413,-2437,235413"
tm "Actions"
)
)
tp (TransitionPriority
uid 1796,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1797,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "42666,230145,44792,232271"
radius 1063
)
pr (Text
uid 1798,0
va (VaSet
isHidden 1
)
xt "43029,230608,44429,231808"
st "1"
ju 0
blo "43729,231608"
tm "TransitionPriority"
)
padding "100,100"
)
)
*105 (Transition
uid 1799,0
shape (Spline
uid 1800,0
va (VaSet
vasetType 3
)
xt "36455,200320,44814,205229"
pts [
"36455,200320"
"44814,205229"
]
arrow 1
)
start &42
end &43
cond "(Position >= Pos1 - 2215 AND button = \"0010\") OR (Position >= Pos2 - 2215 AND button = \"0100\")"
tb (TransitionBlock
uid 1801,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1802,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-28746,204476,26654,206676"
)
autoResize 1
lineShape (Line
uid 1803,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-25596,206576,-25596,206576"
pts [
"-25596,206576"
"-25596,206576"
]
)
condition (MLText
uid 1804,0
va (VaSet
)
xt "-28246,204976,26154,206176"
st "(Position >= Pos1 - 2215 AND button = \"0010\") OR (Position >= Pos2 - 2215 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1805,0
va (VaSet
)
xt "-1046,206576,-1046,206576"
tm "Actions"
)
)
tp (TransitionPriority
uid 1806,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1807,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "36227,199747,38353,201873"
radius 1063
)
pr (Text
uid 1808,0
va (VaSet
isHidden 1
)
xt "36590,200210,37990,201410"
st "1"
ju 0
blo "37290,201210"
tm "TransitionPriority"
)
padding "100,100"
)
)
*106 (Transition
uid 1809,0
shape (Spline
uid 1810,0
va (VaSet
vasetType 3
)
xt "34507,210538,44944,216271"
pts [
"44944,210538"
"34507,216271"
]
arrow 1
)
start &43
end &44
ss 0
es 0
cond "(Position >= Pos1 - 1750 AND button = \"0010\") OR (Position >= Pos2 - 1750 AND button = \"0100\")"
tb (TransitionBlock
uid 1811,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1812,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-28686,212872,26714,215072"
)
autoResize 1
lineShape (Line
uid 1813,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-25536,214972,-25536,214972"
pts [
"-25536,214972"
"-25536,214972"
]
)
condition (MLText
uid 1814,0
va (VaSet
)
xt "-28186,213372,26214,214572"
st "(Position >= Pos1 - 1750 AND button = \"0010\") OR (Position >= Pos2 - 1750 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1815,0
va (VaSet
)
xt "-986,214972,-986,214972"
tm "Actions"
)
)
tp (TransitionPriority
uid 1816,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1817,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "42837,210047,44963,212173"
radius 1063
)
pr (Text
uid 1818,0
va (VaSet
isHidden 1
)
xt "43200,210510,44600,211710"
st "1"
ju 0
blo "43900,211510"
tm "TransitionPriority"
)
padding "100,100"
)
)
*107 (Transition
uid 1819,0
shape (Spline
uid 1820,0
va (VaSet
vasetType 3
)
xt "32794,261257,43802,266073"
pts [
"32794,261257"
"43802,266073"
]
arrow 1
)
start &48
end &49
ss 0
es 0
cond "(Position >= Pos1 - 246 AND button = \"0010\") OR (Position >= Pos2 - 246 AND button = \"0100\")"
tb (TransitionBlock
uid 1821,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1822,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-29473,263706,24527,265906"
)
autoResize 1
lineShape (Line
uid 1823,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-26323,265806,-26323,265806"
pts [
"-26323,265806"
"-26323,265806"
]
)
condition (MLText
uid 1824,0
va (VaSet
)
xt "-28973,264206,24027,265406"
st "(Position >= Pos1 - 246 AND button = \"0010\") OR (Position >= Pos2 - 246 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1825,0
va (VaSet
)
xt "-2473,265806,-2473,265806"
tm "Actions"
)
)
tp (TransitionPriority
uid 1826,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1827,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "32831,260675,34957,262801"
radius 1063
)
pr (Text
uid 1828,0
va (VaSet
isHidden 1
)
xt "33194,261138,34594,262338"
st "1"
ju 0
blo "33894,262138"
tm "TransitionPriority"
)
padding "100,100"
)
)
*108 (Transition
uid 1829,0
shape (Spline
uid 1830,0
va (VaSet
vasetType 3
)
xt "30832,185603,49628,193712"
pts [
"48665,185603"
"48338,193262"
"32260,188471"
"31536,193712"
]
arrow 1
)
start &31
end &42
es 0
cond "(Position >= Pos1 - 2734 AND button = \"0010\") OR (Position >= Pos2 - 2734 AND button = \"0100\")"
tb (TransitionBlock
uid 1831,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 1832,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-16975,190209,38425,192409"
)
autoResize 1
lineShape (Line
uid 1833,0
va (VaSet
vasetType 3
isHidden 1
)
xt "-13825,192309,-13825,192309"
pts [
"-13825,192309"
"-13825,192309"
]
)
condition (MLText
uid 1834,0
va (VaSet
)
xt "-16475,190709,37925,191909"
st "(Position >= Pos1 - 2734 AND button = \"0010\") OR (Position >= Pos2 - 2734 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 1835,0
va (VaSet
)
xt "10725,192309,10725,192309"
tm "Actions"
)
)
tp (TransitionPriority
uid 1836,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 1837,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "48239,187609,50365,189735"
radius 1063
)
pr (Text
uid 1838,0
va (VaSet
isHidden 1
)
xt "48602,188072,50002,189272"
st "1"
ju 0
blo "49302,189072"
tm "TransitionPriority"
)
padding "100,100"
)
)
*109 (Transition
uid 2055,0
shape (Spline
uid 2056,0
va (VaSet
vasetType 3
)
xt "128862,273038,140422,277700"
pts [
"140422,273038"
"128862,277700"
]
arrow 1
)
start &59
end &60
ss 0
es 0
cond "(Position <= Pos1 + 109 AND button = \"0010\") OR (Position <= Pos2 + 109 AND button = \"0100\")"
tb (TransitionBlock
uid 2057,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2058,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "67553,275481,121953,277681"
)
autoResize 1
lineShape (Line
uid 2059,0
va (VaSet
vasetType 3
isHidden 1
)
xt "70703,277581,70703,277581"
pts [
"70703,277581"
"70703,277581"
]
)
condition (MLText
uid 2060,0
va (VaSet
)
xt "68053,275981,121453,277181"
st "(Position <= Pos1 + 109 AND button = \"0010\") OR (Position <= Pos2 + 109 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2061,0
va (VaSet
)
xt "94753,277581,94753,277581"
tm "Actions"
)
)
tp (TransitionPriority
uid 2062,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2063,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "138203,272441,140329,274567"
radius 1063
)
pr (Text
uid 2064,0
va (VaSet
isHidden 1
)
xt "138566,272904,139966,274104"
st "1"
ju 0
blo "139266,273904"
tm "TransitionPriority"
)
padding "100,100"
)
)
*110 (Transition
uid 2065,0
shape (Spline
uid 2066,0
va (VaSet
vasetType 3
)
xt "130183,233166,141552,238502"
pts [
"141552,233166"
"130183,238502"
]
arrow 1
)
start &55
end &56
ss 0
es 0
cond "(Position <= Pos1 + 984 AND button = \"0010\") OR (Position <= Pos2 + 984 AND button = \"0100\")"
tb (TransitionBlock
uid 2067,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2068,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "67249,235804,121649,238004"
)
autoResize 1
lineShape (Line
uid 2069,0
va (VaSet
vasetType 3
isHidden 1
)
xt "70399,237904,70399,237904"
pts [
"70399,237904"
"70399,237904"
]
)
condition (MLText
uid 2070,0
va (VaSet
)
xt "67749,236304,121149,237504"
st "(Position <= Pos1 + 984 AND button = \"0010\") OR (Position <= Pos2 + 984 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2071,0
va (VaSet
)
xt "94449,237904,94449,237904"
tm "Actions"
)
)
tp (TransitionPriority
uid 2072,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2073,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "139352,232636,141478,234762"
radius 1063
)
pr (Text
uid 2074,0
va (VaSet
isHidden 1
)
xt "139715,233099,141115,234299"
st "1"
ju 0
blo "140415,234099"
tm "TransitionPriority"
)
padding "100,100"
)
)
*111 (Transition
uid 2075,0
shape (Spline
uid 2076,0
va (VaSet
vasetType 3
)
xt "131410,223886,141501,228368"
pts [
"131410,223886"
"141501,228368"
]
arrow 1
)
start &54
end &55
ss 0
es 0
cond "(Position <= Pos1 + 1340 AND button = \"0010\") OR (Position <= Pos2 + 1340 AND button = \"0100\")"
tb (TransitionBlock
uid 2077,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2078,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "67109,226874,122909,229074"
)
autoResize 1
lineShape (Line
uid 2079,0
va (VaSet
vasetType 3
isHidden 1
)
xt "70259,228974,70259,228974"
pts [
"70259,228974"
"70259,228974"
]
)
condition (MLText
uid 2080,0
va (VaSet
)
xt "67609,227374,122409,228574"
st "(Position <= Pos1 + 1340 AND button = \"0010\") OR (Position <= Pos2 + 1340 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2081,0
va (VaSet
)
xt "95009,228974,95009,228974"
tm "Actions"
)
)
tp (TransitionPriority
uid 2082,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2083,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "131356,223271,133482,225397"
radius 1063
)
pr (Text
uid 2084,0
va (VaSet
isHidden 1
)
xt "131719,223734,133119,224934"
st "1"
ju 0
blo "132419,224734"
tm "TransitionPriority"
)
padding "100,100"
)
)
*112 (Transition
uid 2085,0
shape (Spline
uid 2086,0
va (VaSet
vasetType 3
)
xt "87355,283052,118692,303831"
pts [
"118692,283052"
"87355,303831"
]
arrow 1
)
start &60
end &51
ss 0
es 0
cond "(Position <= Pos1 AND button = \"0010\") OR (Position <= Pos2 AND button = \"0100\")"
tb (TransitionBlock
uid 2087,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2088,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "78163,294843,125763,297043"
)
autoResize 1
lineShape (Line
uid 2089,0
va (VaSet
vasetType 3
isHidden 1
)
xt "81313,296943,81313,296943"
pts [
"81313,296943"
"81313,296943"
]
)
condition (MLText
uid 2090,0
va (VaSet
)
xt "78663,295343,125263,296543"
st "(Position <= Pos1 AND button = \"0010\") OR (Position <= Pos2 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2091,0
va (VaSet
)
xt "101963,296943,101963,296943"
tm "Actions"
)
)
tp (TransitionPriority
uid 2092,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2093,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "114495,284066,116621,286192"
radius 1063
)
pr (Text
uid 2094,0
va (VaSet
isHidden 1
)
xt "114858,284529,116258,285729"
st "1"
ju 0
blo "115558,285529"
tm "TransitionPriority"
)
padding "100,100"
)
)
*113 (Transition
uid 2095,0
shape (Spline
uid 2096,0
va (VaSet
vasetType 3
)
xt "130218,243331,140591,248012"
pts [
"130218,243331"
"140591,248012"
]
arrow 1
)
start &56
end &57
ss 0
es 0
cond "(Position <= Pos1 + 684 AND button = \"0010\") OR (Position <= Pos2 + 684 AND button = \"0100\")"
tb (TransitionBlock
uid 2097,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2098,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "66999,246984,121399,249184"
)
autoResize 1
lineShape (Line
uid 2099,0
va (VaSet
vasetType 3
isHidden 1
)
xt "70149,249084,70149,249084"
pts [
"70149,249084"
"70149,249084"
]
)
condition (MLText
uid 2100,0
va (VaSet
)
xt "67499,247484,120899,248684"
st "(Position <= Pos1 + 684 AND button = \"0010\") OR (Position <= Pos2 + 684 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2101,0
va (VaSet
)
xt "94199,249084,94199,249084"
tm "Actions"
)
)
tp (TransitionPriority
uid 2102,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2103,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "130192,242736,132318,244862"
radius 1063
)
pr (Text
uid 2104,0
va (VaSet
isHidden 1
)
xt "130555,243199,131955,244399"
st "1"
ju 0
blo "131255,244199"
tm "TransitionPriority"
)
padding "100,100"
)
)
*114 (Transition
uid 2105,0
shape (Spline
uid 2106,0
va (VaSet
vasetType 3
)
xt "129480,263748,140488,268564"
pts [
"129480,263748"
"140488,268564"
]
arrow 1
)
start &58
end &59
ss 0
es 0
cond "(Position <= Pos1 + 246 AND button = \"0010\") OR (Position <= Pos2 + 246 AND button = \"0100\")"
tb (TransitionBlock
uid 2107,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2108,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "67213,266197,121613,268397"
)
autoResize 1
lineShape (Line
uid 2109,0
va (VaSet
vasetType 3
isHidden 1
)
xt "70363,268297,70363,268297"
pts [
"70363,268297"
"70363,268297"
]
)
condition (MLText
uid 2110,0
va (VaSet
)
xt "67713,266697,121113,267897"
st "(Position <= Pos1 + 246 AND button = \"0010\") OR (Position <= Pos2 + 246 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2111,0
va (VaSet
)
xt "94413,268297,94413,268297"
tm "Actions"
)
)
tp (TransitionPriority
uid 2112,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2113,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "129517,263166,131643,265292"
radius 1063
)
pr (Text
uid 2114,0
va (VaSet
isHidden 1
)
xt "129880,263629,131280,264829"
st "1"
ju 0
blo "130580,264629"
tm "TransitionPriority"
)
padding "100,100"
)
)
*115 (Transition
uid 2115,0
shape (Spline
uid 2116,0
va (VaSet
vasetType 3
)
xt "131193,213029,141630,218762"
pts [
"141630,213029"
"131193,218762"
]
arrow 1
)
start &53
end &54
ss 0
es 0
cond "(Position <= Pos1 + 1750 AND button = \"0010\") OR (Position <= Pos2 + 1750 AND button = \"0100\")"
tb (TransitionBlock
uid 2117,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2118,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "68000,215363,123800,217563"
)
autoResize 1
lineShape (Line
uid 2119,0
va (VaSet
vasetType 3
isHidden 1
)
xt "71150,217463,71150,217463"
pts [
"71150,217463"
"71150,217463"
]
)
condition (MLText
uid 2120,0
va (VaSet
)
xt "68500,215863,123300,217063"
st "(Position <= Pos1 + 1750 AND button = \"0010\") OR (Position <= Pos2 + 1750 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2121,0
va (VaSet
)
xt "95900,217463,95900,217463"
tm "Actions"
)
)
tp (TransitionPriority
uid 2122,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2123,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "139523,212538,141649,214664"
radius 1063
)
pr (Text
uid 2124,0
va (VaSet
isHidden 1
)
xt "139886,213001,141286,214201"
st "1"
ju 0
blo "140586,214001"
tm "TransitionPriority"
)
padding "100,100"
)
)
*116 (Transition
uid 2125,0
shape (Spline
uid 2126,0
va (VaSet
vasetType 3
)
xt "85221,254125,141452,301848"
pts [
"141452,254125"
"85221,301848"
]
arrow 1
)
start &57
end &51
ss 0
cond "(Position <= Pos1 + 437 AND button = \"0010\") OR (Position <= Pos2 + 437 AND button = \"0100\")"
tb (TransitionBlock
uid 2127,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2128,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "45730,277251,100130,279451"
)
autoResize 1
lineShape (Line
uid 2129,0
va (VaSet
vasetType 3
isHidden 1
)
xt "48880,279351,48880,279351"
pts [
"48880,279351"
"48880,279351"
]
)
condition (MLText
uid 2130,0
va (VaSet
)
xt "46230,277751,99630,278951"
st "(Position <= Pos1 + 437 AND button = \"0010\") OR (Position <= Pos2 + 437 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2131,0
va (VaSet
)
xt "72930,279351,72930,279351"
tm "Actions"
)
)
tp (TransitionPriority
uid 2132,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2133,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "134766,257834,136892,259960"
radius 1063
)
pr (Text
uid 2134,0
va (VaSet
isHidden 1
)
xt "135129,258297,136529,259497"
st "1"
ju 0
blo "135829,259297"
tm "TransitionPriority"
)
padding "100,100"
)
)
*117 (Transition
uid 2135,0
shape (Spline
uid 2136,0
va (VaSet
vasetType 3
)
xt "133141,202811,141500,207720"
pts [
"133141,202811"
"141500,207720"
]
arrow 1
)
start &52
end &53
cond "(Position <= Pos1 + 2215 AND button = \"0010\") OR (Position <= Pos2 + 2215 AND button = \"0100\")"
tb (TransitionBlock
uid 2137,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2138,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "67940,206967,123740,209167"
)
autoResize 1
lineShape (Line
uid 2139,0
va (VaSet
vasetType 3
isHidden 1
)
xt "71090,209067,71090,209067"
pts [
"71090,209067"
"71090,209067"
]
)
condition (MLText
uid 2140,0
va (VaSet
)
xt "68440,207467,123240,208667"
st "(Position <= Pos1 + 2215 AND button = \"0010\") OR (Position <= Pos2 + 2215 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2141,0
va (VaSet
)
xt "95840,209067,95840,209067"
tm "Actions"
)
)
tp (TransitionPriority
uid 2142,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2143,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "132913,202238,135039,204364"
radius 1063
)
pr (Text
uid 2144,0
va (VaSet
isHidden 1
)
xt "133276,202701,134676,203901"
st "1"
ju 0
blo "133976,203701"
tm "TransitionPriority"
)
padding "100,100"
)
)
*118 (Transition
uid 2145,0
shape (Spline
uid 2146,0
va (VaSet
vasetType 3
)
xt "111549,175796,130055,196162"
pts [
"111549,177874"
"129080,176977"
"127813,196162"
]
arrow 1
)
start &41
end &52
ss 0
es 0
cond "(Position <= Pos1 + 2734 AND button = \"0010\") OR (Position <= Pos2 + 2734 AND button = \"0100\")"
tb (TransitionBlock
uid 2147,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2148,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "91639,187536,147439,189736"
)
autoResize 1
lineShape (Line
uid 2149,0
va (VaSet
vasetType 3
isHidden 1
)
xt "94789,189636,94789,189636"
pts [
"94789,189636"
"94789,189636"
]
)
condition (MLText
uid 2150,0
va (VaSet
)
xt "92139,188036,146939,189236"
st "(Position <= Pos1 + 2734 AND button = \"0010\") OR (Position <= Pos2 + 2734 AND button = \"0100\")"
tm "Condition"
)
actions (MLText
uid 2151,0
va (VaSet
)
xt "119539,189636,119539,189636"
tm "Actions"
)
)
tp (TransitionPriority
uid 2152,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2153,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "114182,176156,116308,178282"
radius 1063
)
pr (Text
uid 2154,0
va (VaSet
isHidden 1
)
xt "114545,176619,115945,177819"
st "1"
ju 0
blo "115245,177619"
tm "TransitionPriority"
)
padding "100,100"
)
)
*119 (Transition
uid 2160,0
shape (Spline
uid 2161,0
va (VaSet
vasetType 3
)
xt "73450,-7346,209008,335321"
pts [
"88367,307801"
"195013,317014"
"195932,33007"
"86005,-7066"
"74636,15007"
]
arrow 1
)
start &51
end &2
ss 0
es 0
tb (TransitionBlock
uid 2162,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2163,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "191032,33897,206132,38907"
)
autoResize 1
lineShape (Line
uid 2164,0
va (VaSet
vasetType 3
)
xt "191532,34607,205632,34607"
pts [
"191532,34607"
"205632,34607"
]
)
condition (MLText
uid 2165,0
va (VaSet
)
xt "195932,33007,201232,34207"
tm "Condition"
)
actions (MLText
uid 2166,0
va (VaSet
)
xt "191532,35007,205632,38607"
st "RaZ <= '0';
unlock <= '1' ;
Power <= \"00000000\";"
tm "Actions"
)
)
tp (TransitionPriority
uid 2167,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2168,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "139237,328572,141363,330698"
radius 1063
)
pr (Text
uid 2169,0
va (VaSet
isHidden 1
)
xt "139600,329035,141000,330235"
st "1"
ju 0
blo "140300,330035"
tm "TransitionPriority"
)
padding "100,100"
)
)
*120 (Transition
uid 2176,0
shape (Spline
uid 2177,0
va (VaSet
vasetType 3
)
xt "4539,25153,17747,25188"
pts [
"4539,25188"
"17747,25153"
]
)
start &61
end &62
cond "button(3) = '1'"
tb (TransitionBlock
uid 2178,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2179,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "7511,26168,22611,32768"
)
autoResize 1
lineShape (Line
uid 2180,0
va (VaSet
vasetType 3
)
xt "8011,28268,22111,28268"
pts [
"8011,28268"
"22111,28268"
]
)
condition (MLText
uid 2181,0
va (VaSet
)
xt "10711,26668,19411,27868"
st "button(3) = '1'"
tm "Condition"
)
actions (MLText
uid 2182,0
va (VaSet
)
xt "8011,28668,22111,32268"
st "RaZ <= '0';
unlock <= '1' ;
Power <= \"00000000\";"
tm "Actions"
)
)
tp (TransitionPriority
uid 2183,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2184,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "4796,24121,6922,26247"
radius 1063
)
pr (Text
uid 2185,0
va (VaSet
)
xt "5159,24584,6559,25784"
st "1"
ju 0
blo "5859,25584"
tm "TransitionPriority"
)
padding "100,100"
)
)
*121 (Transition
uid 2399,0
shape (Spline
uid 2400,0
va (VaSet
vasetType 3
)
xt "6748,19920,17748,19920"
pts [
"6748,19920"
"17748,19920"
]
)
start &63
end &64
ss 0
es 0
cond "reset = '1'"
tb (TransitionBlock
uid 2401,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2402,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "9398,18820,16998,21020"
)
autoResize 1
lineShape (Line
uid 2403,0
va (VaSet
vasetType 3
)
xt "9898,20420,16498,20420"
pts [
"9898,20420"
"16498,20420"
]
)
condition (MLText
uid 2404,0
va (VaSet
)
xt "9898,18820,16498,20020"
st "reset = '1'"
tm "Condition"
)
actions (MLText
uid 2405,0
va (VaSet
isHidden 1
)
xt "8898,20820,17498,22020"
st "< Automatic >"
tm "Actions"
)
)
tp (TransitionPriority
uid 2406,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2407,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "6785,18857,8911,20983"
radius 1063
)
pr (Text
uid 2408,0
va (VaSet
isHidden 1
)
xt "7148,19320,8548,20520"
st "1"
ju 0
blo "7848,20320"
tm "TransitionPriority"
)
padding "100,100"
)
)
*122 (Transition
uid 2453,0
shape (Spline
uid 2454,0
va (VaSet
vasetType 3
)
xt "4652,33864,19648,33864"
pts [
"4652,33864"
"19648,33864"
]
)
start &66
end &67
cond "(button = \"0010\" AND Position = Pos1) OR (button = \"0100\" AND Position = Pos2)"
tb (TransitionBlock
uid 2455,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 2456,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-17975,35856,28225,42456"
)
autoResize 1
lineShape (Line
uid 2457,0
va (VaSet
vasetType 3
)
xt "-17475,37956,27725,37956"
pts [
"-17475,37956"
"27725,37956"
]
)
condition (MLText
uid 2458,0
va (VaSet
)
xt "-17475,36356,27725,37556"
st "(button = \"0010\" AND Position = Pos1) OR (button = \"0100\" AND Position = Pos2)"
tm "Condition"
)
actions (MLText
uid 2459,0
va (VaSet
)
xt "-1925,38356,12175,41956"
st "RaZ <= '0';
unlock <= '1' ;
Power <= \"00000000\";"
tm "Actions"
)
)
tp (TransitionPriority
uid 2460,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 2461,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "5088,32801,7214,34927"
radius 1063
)
pr (Text
uid 2462,0
va (VaSet
)
xt "5451,33264,6851,34464"
st "2"
ju 0
blo "6151,34264"
tm "TransitionPriority"
)
padding "100,100"
)
)
*123 (Transition
uid 3539,0
shape (Spline
uid 3540,0
va (VaSet
vasetType 3
)
xt "60979,12315,69820,16611"
pts [
"60979,12315"
"69820,16611"
]
arrow 1
)
start &68
end &2
ss 0
es 0
cond "button(3) = '0'"
tb (TransitionBlock
uid 3541,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 3542,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "63213,10963,72913,13163"
)
autoResize 1
lineShape (Line
uid 3543,0
va (VaSet
vasetType 3
isHidden 1
)
xt "66363,13063,66363,13063"
pts [
"66363,13063"
"66363,13063"
]
)
condition (MLText
uid 3544,0
va (VaSet
)
xt "63713,11463,72413,12663"
st "button(3) = '0'"
tm "Condition"
)
actions (MLText
uid 3545,0
va (VaSet
)
xt "68063,13063,68063,13063"
tm "Actions"
)
)
tp (TransitionPriority
uid 3546,0
ps "PercentageFromStartStrategy"
shape (Circle
uid 3547,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "60799,11681,62925,13807"
radius 1063
)
pr (Text
uid 3548,0
va (VaSet
isHidden 1
)
xt "61162,12144,62562,13344"
st "1"
ju 0
blo "61862,13144"
tm "TransitionPriority"
)
padding "100,100"
)
)
]
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 0
isActive 0
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *124 (PackageList
uid 31,0
stg "VerticalLayoutStrategy"
textVec [
*125 (Text
uid 32,0
va (VaSet
font "Verdana,9,1"
)
xt "0,3000,7600,4200"
st "Package List"
blo "0,4000"
)
*126 (MLText
uid 33,0
va (VaSet
)
xt "0,4200,17500,7800"
st "LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;"
tm "SmPackageListTextMgr"
)
]
)
compDirBlock (MlTextGroup
uid 34,0
stg "VerticalLayoutStrategy"
textVec [
*127 (Text
uid 35,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "20000,0,30800,1200"
st "Compiler Directives"
blo "20000,1000"
)
*128 (Text
uid 36,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "20000,1200,33100,2400"
st "Pre-module directives:"
blo "20000,2200"
)
*129 (MLText
uid 37,0
va (VaSet
isHidden 1
)
xt "20000,2400,32100,4800"
st "`resetall
`timescale 1ns/10ps"
tm "SmCompilerDirectivesTextMgr"
)
*130 (Text
uid 38,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "20000,4800,33700,6000"
st "Post-module directives:"
blo "20000,5800"
)
*131 (MLText
uid 39,0
va (VaSet
isHidden 1
)
xt "20000,0,20000,0"
tm "SmCompilerDirectivesTextMgr"
)
*132 (Text
uid 40,0
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "20000,6000,33200,7200"
st "End-module directives:"
blo "20000,7000"
)
*133 (MLText
uid 41,0
va (VaSet
isHidden 1
)
xt "20000,7200,20000,7200"
tm "SmCompilerDirectivesTextMgr"
)
]
associable 1
)
windowSize "190,0,1921,1080"
viewArea "-14600,44060,126682,130916"
cachedDiagramExtent "-29687,-7346,209008,335321"
hasePageBreakOrigin 1
pageBreakOrigin "-73000,-51000"
isTopLevel 1
defaultCommentText (CommentText
shape (Rectangle
layer 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
lineColor "0,0,32768"
)
xt "0,0,15000,5000"
)
text (MLText
va (VaSet
fg "0,0,32768"
)
xt "200,200,3200,1400"
st "
Text
"
tm "CommentText"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
)
)
defaultRequirementText (RequirementText
shape (ZoomableIcon
layer 0
va (VaSet
vasetType 1
fg "59904,39936,65280"
lineColor "0,0,32768"
)
xt "0,0,1500,1750"
iconName "reqTracerRequirement.bmp"
iconMaskName "reqTracerRequirement.msk"
)
autoResize 1
text (MLText
va (VaSet
fg "0,0,32768"
font "arial,8,0"
)
xt "500,2150,1400,3150"
st "
Text
"
tm "RequirementText"
wrapOption 3
visibleHeight 1350
visibleWidth 1100
)
)
defaultPanel (Panel
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "32768,0,0"
lineWidth 3
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (Text
va (VaSet
font "Verdana,9,1"
)
xt "1000,1000,5000,2200"
st "Panel0"
blo "1000,2000"
tm "PanelText"
)
)
)
localDecl *134 (SmLocalDecl
uid 3,0
stg "VerticalLayoutStrategy"
textVec [
*135 (Text
uid 4,0
va (VaSet
font "Verdana,9,1"
)
xt "27800,-1000,42400,200"
st "Architecture Declarations"
blo "27800,0"
)
*136 (MLText
uid 5,0
va (VaSet
font "Courier New,8,0"
)
xt "27800,-1000,27800,-1000"
tm "LocalDeclTextMgr"
)
*137 (Text
uid 6,0
va (VaSet
font "Verdana,9,1"
)
xt "27800,200,33000,1400"
st "Pre Decls"
blo "27800,1200"
)
*138 (MLText
uid 7,0
va (VaSet
font "Courier New,8,0"
)
xt "27800,-1000,27800,-1000"
tm "LocalDeclTextMgr"
)
*139 (Text
uid 8,0
va (VaSet
font "Verdana,9,1"
)
xt "27800,1400,34200,2600"
st "Post Decls"
blo "27800,2400"
)
*140 (MLText
uid 9,0
va (VaSet
font "Courier New,8,0"
)
xt "27800,2600,27800,2600"
tm "LocalDeclTextMgr"
)
]
)
processDecl *141 (SmProcessDecl
uid 10,0
stg "VerticalLayoutStrategy"
textVec [
*142 (Text
uid 11,0
va (VaSet
font "Verdana,9,1"
)
xt "74500,-1000,86600,200"
st "Process Declarations"
blo "74500,0"
)
*143 (Text
uid 12,0
va (VaSet
font "Verdana,9,1"
)
xt "74500,200,84000,1400"
st "Clocked Process:"
blo "74500,1200"
)
*144 (MLText
uid 13,0
va (VaSet
font "Courier New,8,0"
)
xt "74500,-1000,74500,-1000"
tm "ProcessDeclTextMgr"
)
*145 (Text
uid 14,0
va (VaSet
font "Verdana,9,1"
)
xt "74500,1400,83800,2600"
st "Output Process:"
blo "74500,2400"
)
*146 (MLText
uid 15,0
va (VaSet
font "Courier New,8,0"
)
xt "74500,2600,74500,2600"
tm "ProcessDeclTextMgr"
)
]
associable 1
)
defaultActions *147 (MlTextGroup
uid 16,0
stg "VerticalLayoutStrategy"
textVec [
*148 (Text
uid 17,0
va (VaSet
font "Verdana,9,1"
)
xt "0,-1000,8400,200"
st "Global Actions"
blo "0,0"
)
*149 (Text
uid 18,0
va (VaSet
font "Verdana,9,1"
)
xt "0,200,7400,1400"
st "Pre Actions:"
blo "0,1200"
)
*150 (MLText
uid 19,0
va (VaSet
)
xt "0,-1000,0,-1000"
tm "Actions"
)
*151 (Text
uid 20,0
va (VaSet
font "Verdana,9,1"
)
xt "0,1400,8000,2600"
st "Post Actions:"
blo "0,2400"
)
*152 (MLText
uid 21,0
va (VaSet
)
xt "0,2600,0,2600"
tm "Actions"
)
]
associable 1
)
archConcurrentStatementBlock *153 (BiTextGroup
uid 22,0
stg "VerticalLayoutStrategy"
first (Text
uid 23,0
va (VaSet
font "Verdana,9,1"
)
xt "11400,-1000,24800,200"
st "Concurrent Statements"
blo "11400,0"
)
second (MLText
uid 24,0
va (VaSet
)
xt "11400,200,11400,200"
tm "ArchConcStmtTextMgr"
)
associable 1
)
signalsGenStatus *154 (SmSignalGenStatus
uid 28,0
stg "VerticalLayoutStrategy"
first (Text
uid 29,0
va (VaSet
font "Verdana,9,1"
)
xt "45400,-1000,53200,200"
st "Signal Status"
blo "45400,0"
)
second (MLText
uid 30,0
va (VaSet
font "Courier New,8,0"
)
xt "45400,200,78900,6600"
st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT
Power OUT COMB
RaZ OUT COMB
sideL OUT COMB
unlock OUT COMB
CurrentPos LOCAL CLKD
Pos1 LOCAL \"0101100101001000\" CLKD
Pos2 LOCAL \"1000010111101100\" CLKD
"
tm "SmSignalsGenStatusTextMgr"
)
)
stateRegBlock *155 (BiTextGroup
uid 25,0
stg "VerticalLayoutStrategy"
first (Text
uid 26,0
va (VaSet
font "Verdana,9,1"
)
xt "56200,-1000,71500,200"
st "State Register Statements"
blo "56200,0"
)
second (MLText
uid 27,0
va (VaSet
)
xt "56200,200,56200,200"
tm "Actions"
)
associable 1
)
)
genChar (SmGenChar
uid 42,0
nextStateClocking 0
)
encoding (Encoding
scheme 3
encodingStyles [
(pair
scheme 0
style 0
)
(pair
scheme 1
style 1
)
(pair
scheme 2
style 0
)
(pair
scheme 3
style 0
)
(pair
scheme 4
style 0
)
(pair
scheme 5
style 0
)
]
otherValues [
(pair
scheme 0
otherValue ""
)
(pair
scheme 1
otherValue ""
)
(pair
scheme 2
otherValue ""
)
(pair
scheme 3
otherValue ""
)
(pair
scheme 4
otherValue ""
)
(pair
scheme 5
otherValue ""
)
]
attribute 0
synSafe 0
outputEncodedLocals 0
useVerilogParameterRange 0
radix 2
)
stateOrder [
&2
&16
&18
&17
&19
&20
&21
&22
&23
&24
&25
&26
&27
&28
&29
&30
&31
&32
&33
&34
&35
&36
&37
&38
&39
&40
&41
&42
&43
&44
&45
&46
&47
&48
&49
&50
&51
&52
&53
&54
&55
&56
&57
&58
&59
&60
&68
]
name "csm"
)
]
lastUid 3904,0
commonDM (CommonDM
ldm (LogicalDM
emptyRow *156 (LEmptyRow
)
uid 181,0
optionalChildren [
*157 (RefLabelRowHdr
)
*158 (TitleRowHdr
)
*159 (FilterRowHdr
)
*160 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*161 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*162 (GroupColHdr
tm "GroupColHdrMgr"
)
*163 (NameColHdr
tm "SmNameColHdrMgr"
)
*164 (ModeColHdr
tm "SmModeColHdrMgr"
)
*165 (TypeColHdr
tm "SmTypeColHdrMgr"
)
*166 (BoundsColHdr
tm "SmBoundsColHdrMgr"
)
*167 (InitColHdr
tm "SmInitColHdrMgr"
)
*168 (ColumnHdr
tm "SmCategoryColHdrMgr"
)
*169 (ColumnHdr
tm "SmAssignColHdrMgr"
)
*170 (ColumnHdr
tm "SmExprColHdrMgr"
)
*171 (ColumnHdr
tm "SmSchemeColHdrMgr"
)
*172 (ColumnHdr
tm "SmDefValColHdrMgr"
)
*173 (ColumnHdr
tm "SmRstValColHdrMgr"
)
*174 (EolColHdr
tm "SmEolColHdrMgr"
)
*175 (LeafLogPort
port (LogicalPort
lang 11
decl (Decl
n "Position"
t "unsigned"
b "(15 DOWNTO 0)"
o 1
)
)
uid 156,0
)
*176 (LeafLogPort
port (LogicalPort
lang 11
decl (Decl
n "button"
t "unsigned"
b "(3 DOWNTO 0)"
o 2
)
)
uid 158,0
)
*177 (LeafLogPort
port (LogicalPort
decl (Decl
n "sensor1"
t "std_uLogic"
o 5
)
)
uid 164,0
)
*178 (LeafLogPort
port (LogicalPort
decl (Decl
n "sensor2"
t "std_uLogic"
o 6
)
)
uid 166,0
)
*179 (LeafLogPort
port (LogicalPort
decl (Decl
n "testMode"
t "std_uLogic"
o 7
)
)
uid 168,0
)
*180 (LeafLogPort
port (LogicalPort
lang 11
m 1
decl (Decl
n "Power"
t "unsigned"
b "(7 DOWNTO 0)"
o 8
)
)
uid 170,0
scheme 0
)
*181 (LeafLogPort
port (LogicalPort
lang 11
m 1
decl (Decl
n "RaZ"
t "std_ulogic"
o 9
)
)
uid 172,0
scheme 0
)
*182 (LeafLogPort
port (LogicalPort
m 1
decl (Decl
n "sideL"
t "std_ulogic"
o 10
)
)
uid 174,0
scheme 0
)
*183 (LeafLogPort
port (LogicalPort
lang 11
m 1
decl (Decl
n "unlock"
t "std_ulogic"
o 11
)
)
uid 178,0
scheme 0
)
*184 (LeafLogPort
port (LogicalPort
lang 11
m 4
decl (Decl
n "CurrentPos"
t "unsigned"
b "(15 DOWNTO 0)"
o 12
)
)
uid 1247,0
scheme 1
)
*185 (LeafLogPort
port (LogicalPort
lang 11
decl (Decl
n "clock"
t "std_ulogic"
o 3
)
)
uid 2363,0
cat 1
ass ""
expr "clock'EVENT AND clock = '1'"
)
*186 (LeafLogPort
port (LogicalPort
lang 11
decl (Decl
n "reset"
t "std_ulogic"
o 4
)
)
uid 2365,0
cat 9
ass ""
expr "reset = '1'"
)
*187 (LeafLogPort
port (LogicalPort
lang 11
m 4
decl (Decl
n "Pos1"
t "unsigned"
b "(15 DOWNTO 0)"
o 13
)
)
uid 3286,0
scheme 1
defVal "\"0101100101001000\""
)
*188 (LeafLogPort
port (LogicalPort
lang 11
m 4
decl (Decl
n "Pos2"
t "unsigned"
b "(15 DOWNTO 0)"
o 14
)
)
uid 3288,0
scheme 1
defVal "\"1000010111101100\""
)
]
)
pdm (PhysicalDM
displayShortBounds 1
editShortBounds 1
uid 200,0
optionalChildren [
*189 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *190 (MRCItem
litem &156
pos 14
dimension 20
)
uid 202,0
optionalChildren [
*191 (MRCItem
litem &157
pos 0
dimension 20
uid 203,0
)
*192 (MRCItem
litem &158
pos 1
dimension 23
uid 204,0
)
*193 (MRCItem
litem &159
pos 2
hidden 1
dimension 20
uid 205,0
)
*194 (MRCItem
litem &175
pos 2
dimension 20
uid 157,0
)
*195 (MRCItem
litem &176
pos 0
dimension 20
uid 159,0
)
*196 (MRCItem
litem &177
pos 6
dimension 20
uid 165,0
)
*197 (MRCItem
litem &178
pos 7
dimension 20
uid 167,0
)
*198 (MRCItem
litem &179
pos 9
dimension 20
uid 169,0
)
*199 (MRCItem
litem &180
pos 3
dimension 20
uid 171,0
)
*200 (MRCItem
litem &181
pos 4
dimension 20
uid 173,0
)
*201 (MRCItem
litem &182
pos 8
dimension 20
uid 175,0
)
*202 (MRCItem
litem &183
pos 10
dimension 20
uid 179,0
)
*203 (MRCItem
litem &184
pos 11
dimension 20
uid 1248,0
)
*204 (MRCItem
litem &185
pos 1
dimension 20
uid 2362,0
)
*205 (MRCItem
litem &186
pos 5
dimension 20
uid 2364,0
)
*206 (MRCItem
litem &187
pos 12
dimension 20
uid 3287,0
)
*207 (MRCItem
litem &188
pos 13
dimension 20
uid 3289,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 206,0
optionalChildren [
*208 (MRCItem
litem &160
pos 0
dimension 20
uid 207,0
)
*209 (MRCItem
litem &162
pos 1
dimension 40
uid 208,0
)
*210 (MRCItem
litem &163
pos 2
dimension 46
uid 209,0
)
*211 (MRCItem
litem &164
pos 3
dimension 40
uid 210,0
)
*212 (MRCItem
litem &165
pos 4
dimension 66
uid 211,0
)
*213 (MRCItem
litem &166
pos 5
dimension 45
uid 212,0
)
*214 (MRCItem
litem &167
pos 6
dimension 40
uid 213,0
)
*215 (MRCItem
litem &168
pos 7
dimension 100
uid 214,0
)
*216 (MRCItem
litem &169
pos 8
dimension 60
uid 215,0
)
*217 (MRCItem
litem &170
pos 9
dimension 130
uid 216,0
)
*218 (MRCItem
litem &171
pos 10
dimension 56
uid 217,0
)
*219 (MRCItem
litem &172
pos 11
dimension 187
uid 218,0
)
*220 (MRCItem
litem &173
pos 12
dimension 50
uid 219,0
)
*221 (MRCItem
litem &174
pos 13
dimension 80
uid 220,0
)
]
)
fixedCol 4
fixedRow 2
name "Ports"
uid 201,0
vaOverrides [
]
)
]
)
uid 180,0
)
cdmCsm &1
genericsCommonDM (CommonDM
ldm (LogicalDM
emptyRow *222 (LEmptyRow
)
uid 1859,0
optionalChildren [
*223 (RefLabelRowHdr
)
*224 (TitleRowHdr
)
*225 (FilterRowHdr
)
*226 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*227 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*228 (GroupColHdr
tm "GroupColHdrMgr"
)
*229 (NameColHdr
tm "GenericNameColHdrMgr"
)
*230 (TypeColHdr
tm "GenericTypeColHdrMgr"
)
*231 (InitColHdr
tm "GenericValueColHdrMgr"
)
*232 (PragmaColHdr
tm "GenericPragmaColHdrMgr"
)
*233 (EolColHdr
tm "GenericEolColHdrMgr"
)
]
)
pdm (PhysicalDM
displayShortBounds 1
editShortBounds 1
uid 1871,0
optionalChildren [
*234 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *235 (MRCItem
litem &222
pos 0
dimension 20
)
uid 1873,0
optionalChildren [
*236 (MRCItem
litem &223
pos 0
dimension 20
uid 1874,0
)
*237 (MRCItem
litem &224
pos 1
dimension 23
uid 1875,0
)
*238 (MRCItem
litem &225
pos 2
hidden 1
dimension 20
uid 1876,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 1877,0
optionalChildren [
*239 (MRCItem
litem &226
pos 0
dimension 20
uid 1878,0
)
*240 (MRCItem
litem &228
pos 1
dimension 50
uid 1879,0
)
*241 (MRCItem
litem &229
pos 2
dimension 100
uid 1880,0
)
*242 (MRCItem
litem &230
pos 3
dimension 100
uid 1881,0
)
*243 (MRCItem
litem &231
pos 4
dimension 50
uid 1882,0
)
*244 (MRCItem
litem &232
pos 5
dimension 50
uid 1883,0
)
*245 (MRCItem
litem &233
pos 6
dimension 80
uid 1884,0
)
]
)
fixedCol 3
fixedRow 2
name "Ports"
uid 1872,0
vaOverrides [
]
)
]
)
uid 1858,0
type 1
)
signalSuffix "_int"
clockSuffix "_cld"
defaultState (State
shape (Circle
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "0,32768,49152"
lineWidth 2
)
xt "-3551,-3551,3551,3551"
radius 3551
)
name (Text
va (VaSet
font "Verdana,12,1"
)
xt "0,0,2500,1400"
st "s0"
ju 0
blo "1250,1200"
tm "ONodeName"
)
wait (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "1000,900,6100,2300"
st "wait 2"
blo "1000,2100"
tm "SmWaitText"
)
)
encoding (Text
va (VaSet
font "Verdana,9,1"
)
blo "0,0"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "3900,3300,4100,3500"
)
autoResize 1
tline (Line
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
pts [
"0,0"
"0,0"
]
)
bline (Line
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
pts [
"0,0"
"0,0"
]
)
ttri (Triangle
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "-450,-175,-100,175"
)
btri (Triangle
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "-450,-175,-100,175"
)
entryActions (MLText
va (VaSet
)
tm "Actions"
)
inActions (MLText
va (VaSet
)
tm "Actions"
)
exitActions (MLText
va (VaSet
)
tm "Actions"
)
)
caseExpr (TextAssociate
ps "CenterOffsetStrategy"
text (MLText
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "-2100,1200,4600,2400"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
defaultWaitState (State
shape (CircleInOctagon
va (VaSet
vasetType 1
fg "0,65535,65535"
lineColor "26368,26368,26368"
lineWidth 2
)
xt "-529,-529,6529,6529"
)
name (Text
va (VaSet
font "Verdana,12,1"
)
xt "0,0,2500,1400"
st "s0"
ju 0
blo "1250,1200"
tm "ONodeName"
)
wait (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
isHidden 1
fg "0,0,32768"
font "Verdana,12,1"
)
xt "1000,900,6100,2300"
st "wait 2"
blo "1000,2100"
tm "SmWaitText"
)
)
encoding (Text
va (VaSet
font "Verdana,9,1"
)
blo "0,0"
tm "SmEncodingMgr"
)
actionBlk (SmStateActionsBlock
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "8900,6300,9100,6500"
)
autoResize 1
tline (Line
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "5000,3000,5000,3000"
pts [
"5000,3000"
"5000,3000"
]
)
bline (Line
va (VaSet
vasetType 3
isHidden 1
lineColor "39936,56832,65280"
lineWidth -1
)
xt "5000,3000,5000,3000"
pts [
"5000,3000"
"5000,3000"
]
)
ttri (Triangle
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "4550,2825,4900,3175"
)
btri (Triangle
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "0,32768,49152"
bg "0,0,0"
lineColor "39936,56832,65280"
lineWidth -1
)
xt "4550,2825,4900,3175"
)
entryActions (MLText
va (VaSet
)
xt "5000,3000,5000,3000"
tm "Actions"
)
inActions (MLText
va (VaSet
)
xt "5000,3000,5000,3000"
tm "Actions"
)
exitActions (MLText
va (VaSet
)
xt "5000,3000,5000,3000"
tm "Actions"
)
)
caseExpr (TextAssociate
ps "CenterOffsetStrategy"
text (MLText
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "-2100,1200,4600,2400"
st "CASE: expr"
tm "SmCaseExpr"
)
)
isWait 1
)
defaultCompositeState (CompositeState
shape (TripleCircle
va (VaSet
vasetType 1
fg "29952,39936,65280"
lineColor "0,0,32768"
lineWidth 2
)
xt "-3000,-3000,3000,3000"
radius 3000
)
name (Text
va (VaSet
font "Verdana,10,1"
)
xt "-1000,-600,1000,600"
st "s0"
ju 0
blo "0,400"
tm "ONodeName"
)
childDiagram &0
)
defaultJunction (Junction
shape (Diamond
va (VaSet
vasetType 1
fg "59904,39936,65280"
)
xt "-1300,-1300,2300,2300"
)
symbol (Text
va (VaSet
font "Verdana,10,1"
)
xt "-300,-100,1300,1100"
st "&"
ju 0
blo "500,900"
)
name (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
font "Verdana,9,1"
)
xt "2000,1000,2000,1000"
blo "2000,1000"
tm "JunctionName"
)
)
caseExpr (TextAssociate
ps "CenterOffsetStrategy"
text (MLText
va (VaSet
isHidden 1
font "Verdana,9,1"
)
xt "-2550,2000,4150,3200"
st "CASE: expr"
tm "SmCaseExpr"
)
)
)
defaultEntryPoint (EntryPoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "29952,39936,65280"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-875,875,1375,1875"
)
(Line
sl 0
ro 270
xt "1375,1375,1875,1375"
pts [
"1375,1375"
"1875,1375"
]
)
]
)
)
defaultInterruptPoint (InterruptPoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,0,0"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-875,875,1375,1875"
)
(Line
sl 0
ro 270
xt "1375,1375,1875,1375"
pts [
"1375,1375"
"1875,1375"
]
)
(CustomPolygon
pts [
"-625,1600"
"-625,1300"
"25,1425"
"-75,1150"
"1025,1350"
"200,1350"
"375,1600"
]
sl 0
ro 270
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "65535,0,0"
lineColor "65535,65535,0"
)
xt "-625,1150,1025,1600"
)
]
)
)
defaultLink (Link
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,65535,0"
bg "0,0,0"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-375,875,1875,1875"
)
(Line
sl 0
ro 270
xt "-875,1375,-375,1375"
pts [
"-875,1375"
"-375,1375"
]
)
]
)
name (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
font "Verdana,9,1"
)
xt "2375,875,5075,2075"
st "Link"
blo "2375,1875"
tm "LinkName"
)
)
)
defaultExitPoint (ExitPoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "29952,39936,65280"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-375,875,1875,1875"
)
(Line
sl 0
ro 270
xt "-875,1375,-375,1375"
pts [
"-875,1375"
"-375,1375"
]
)
]
)
)
defaultTransition (Transition
shape (Spline
va (VaSet
vasetType 3
)
pts [
"0,0"
"0,0"
]
arrow 1
)
ss 0
es 0
cond "condition"
tb (TransitionBlock
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
lineColor "0,32768,49152"
)
xt "-500,-500,5800,1700"
)
autoResize 1
lineShape (Line
va (VaSet
vasetType 3
isHidden 1
)
xt "2650,1600,2650,1600"
pts [
"2650,1600"
"2650,1600"
]
)
condition (MLText
va (VaSet
)
xt "0,0,5300,1200"
st "condition"
tm "Condition"
)
actions (MLText
va (VaSet
)
xt "2650,2000,2650,2000"
tm "Actions"
)
)
tp (TransitionPriority
ps "PercentageFromStartStrategy"
shape (Circle
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "-1063,-1063,1063,1063"
radius 1063
)
pr (Text
va (VaSet
)
xt "-700,-600,700,600"
st "1"
ju 0
blo "0,400"
tm "TransitionPriority"
)
padding "100,100"
)
)
defaultClk (SmClockPoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,65535,0"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-625,625,1625,1625"
)
(OrthoPolyLine
sl 0
ro 270
va (VaSet
vasetType 3
)
xt "275,825,874,1425"
pts [
"275,1425"
"574,1425"
"574,825"
"874,825"
]
)
(Arc2D
pts [
"-116,1278"
"-371,972"
"-116,972"
]
sl 0
ro 270
va (VaSet
vasetType 1
transparent 1
)
xt "-441,926,-116,1323"
)
]
)
name (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
)
xt "-3325,625,-1125,1825"
st "clk"
ju 2
blo "-1125,1625"
tm "SmControlSignalNameMgr"
)
)
cond (SmControlCondition
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,32768,49152"
)
xt "1625,425,4925,1825"
)
autoResize 1
cond (MLText
va (VaSet
)
xt "1725,525,4825,1725"
st "cond"
tm "SmControlConditionMgr"
)
)
)
defaultEnable (SmEnablePoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,65535,0"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-625,625,1625,1625"
)
(OrthoPolyLine
sl 0
ro 270
va (VaSet
vasetType 3
)
xt "275,825,874,1425"
pts [
"874,1425"
"574,1425"
"574,825"
"275,825"
]
)
(Arc2D
pts [
"-130,1263"
"-415,1064"
"-76,1064"
]
layer 10
sl 0
ro 270
va (VaSet
vasetType 1
transparent 1
)
xt "-425,943,-76,1304"
)
(Line
sl 0
ro 270
xt "-415,1064,-106,1064"
pts [
"-415,1064"
"-106,1064"
]
)
]
)
name (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
)
xt "-5125,625,-1125,1825"
st "enable"
ju 2
blo "-1125,1625"
tm "SmControlSignalNameMgr"
)
)
cond (SmControlCondition
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,32768,49152"
)
xt "1625,425,4925,1825"
)
autoResize 1
cond (MLText
va (VaSet
)
xt "1725,525,4825,1725"
st "cond"
tm "SmControlConditionMgr"
)
)
)
defaultRst (SmResetPoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,65535,0"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-625,625,1625,1625"
)
(OrthoPolyLine
sl 0
ro 270
va (VaSet
vasetType 3
)
xt "275,825,874,1425"
pts [
"874,1425"
"574,1425"
"574,825"
"275,825"
]
)
(Line
sl 0
ro 270
xt "-376,950,-276,1000"
pts [
"-376,1000"
"-276,950"
]
)
(Line
sl 0
ro 270
xt "-376,950,-376,1300"
pts [
"-376,1300"
"-376,950"
]
)
(Circle
layer 10
sl 0
ro 270
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,0"
)
xt "424,975,724,1275"
radius 150
)
]
)
cond (SmControlCondition
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,32768,49152"
)
xt "-625,-1175,2675,225"
)
autoResize 1
cond (MLText
va (VaSet
)
xt "-525,-1075,2575,125"
st "cond"
tm "SmControlConditionMgr"
)
)
prio (TransitionPriority
ps "PercentageFromStartStrategy"
shape (Circle
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "1625,62,3751,2188"
radius 1063
)
pr (Text
va (VaSet
)
xt "1988,525,3388,1725"
st "1"
ju 0
blo "2688,1525"
tm "TransitionPriority"
)
padding "100,100"
)
name (TextAssociate
ps "CenterOffsetStrategy"
text (Text
va (VaSet
)
xt "-2725,525,-625,1725"
st "rst"
ju 2
blo "-625,1525"
tm "SmControlSignalNameMgr"
)
)
actions (TextAssociate
ps "CenterOffsetStrategy"
text (MLText
va (VaSet
isHidden 1
)
xt "4750,2625,13350,3825"
st "< Automatic >"
tm "Actions"
)
)
)
defaultRecStatePt (SmRecoveryStatePoint
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,0,0"
)
optionalChildren [
(Circle
sl 0
xt "-900,-900,900,900"
radius 900
)
(Line
sl 0
va (VaSet
vasetType 3
lineColor "65535,65535,0"
lineWidth 1
)
xt "-426,-426,426,426"
pts [
"-426,426"
"426,-426"
]
)
(Line
sl 0
va (VaSet
vasetType 3
lineColor "65535,65535,0"
lineWidth 1
)
xt "-426,-426,426,426"
pts [
"426,426"
"-426,-426"
]
)
]
)
)
activeModelName "StateMachine:CDM"
LanguageMgr "Vhdl2008LangMgr"
)