mirror of
https://github.com/Klagarge/Cursor.git
synced 2025-03-13 23:14:32 +00:00
6046 lines
68 KiB
Plaintext
6046 lines
68 KiB
Plaintext
DocumentHdrVersion "1.1"
|
||
Header (DocumentHdr
|
||
version 2
|
||
dialect 11
|
||
dmPackageRefs [
|
||
(DmPackageRef
|
||
library "ieee"
|
||
unitName "std_logic_1164"
|
||
)
|
||
(DmPackageRef
|
||
library "ieee"
|
||
unitName "numeric_std"
|
||
)
|
||
]
|
||
instances [
|
||
(Instance
|
||
name "U_4"
|
||
duLibraryName "Cursor"
|
||
duName "process_cruse"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 351,0
|
||
)
|
||
(Instance
|
||
name "U_5"
|
||
duLibraryName "Cursor"
|
||
duName "process_deceleration"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 361,0
|
||
)
|
||
(Instance
|
||
name "U_6"
|
||
duLibraryName "Cursor"
|
||
duName "selector_acceleration"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 371,0
|
||
)
|
||
(Instance
|
||
name "U_7"
|
||
duLibraryName "Cursor"
|
||
duName "selector_cruse"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 381,0
|
||
)
|
||
(Instance
|
||
name "U_8"
|
||
duLibraryName "Cursor"
|
||
duName "selector_deceleration"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 391,0
|
||
)
|
||
(Instance
|
||
name "U_0"
|
||
duLibraryName "Cursor"
|
||
duName "move"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 874,0
|
||
)
|
||
(Instance
|
||
name "U_9"
|
||
duLibraryName "Cursor"
|
||
duName "process_acceleration"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 958,0
|
||
)
|
||
]
|
||
libraryRefs [
|
||
"ieee"
|
||
]
|
||
)
|
||
version "32.1"
|
||
appVersion "2019.2 (Build 5)"
|
||
noEmbeddedEditors 1
|
||
model (BlockDiag
|
||
VExpander (VariableExpander
|
||
vvMap [
|
||
(vvPair
|
||
variable "HDLDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl"
|
||
)
|
||
(vvPair
|
||
variable "HDSDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds"
|
||
)
|
||
(vvPair
|
||
variable "SideDataDesignDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\struct.bd.info"
|
||
)
|
||
(vvPair
|
||
variable "SideDataUserDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\struct.bd.user"
|
||
)
|
||
(vvPair
|
||
variable "SourceDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds"
|
||
)
|
||
(vvPair
|
||
variable "appl"
|
||
value "HDL Designer"
|
||
)
|
||
(vvPair
|
||
variable "arch_name"
|
||
value "struct"
|
||
)
|
||
(vvPair
|
||
variable "concat_file"
|
||
value "concatenated"
|
||
)
|
||
(vvPair
|
||
variable "config"
|
||
value "%(unit)_%(view)_config"
|
||
)
|
||
(vvPair
|
||
variable "d"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main"
|
||
)
|
||
(vvPair
|
||
variable "d_logical"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main"
|
||
)
|
||
(vvPair
|
||
variable "date"
|
||
value "10.12.2021"
|
||
)
|
||
(vvPair
|
||
variable "day"
|
||
value "ven."
|
||
)
|
||
(vvPair
|
||
variable "day_long"
|
||
value "vendredi"
|
||
)
|
||
(vvPair
|
||
variable "dd"
|
||
value "10"
|
||
)
|
||
(vvPair
|
||
variable "entity_name"
|
||
value "Main"
|
||
)
|
||
(vvPair
|
||
variable "ext"
|
||
value "<TBD>"
|
||
)
|
||
(vvPair
|
||
variable "f"
|
||
value "struct.bd"
|
||
)
|
||
(vvPair
|
||
variable "f_logical"
|
||
value "struct.bd"
|
||
)
|
||
(vvPair
|
||
variable "f_noext"
|
||
value "struct"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_author"
|
||
value "Simon"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_date"
|
||
value "10.12.2021"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_group"
|
||
value "UNKNOWN"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_host"
|
||
value "PC-SDM"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_time"
|
||
value "09:46:16"
|
||
)
|
||
(vvPair
|
||
variable "group"
|
||
value "UNKNOWN"
|
||
)
|
||
(vvPair
|
||
variable "host"
|
||
value "PC-SDM"
|
||
)
|
||
(vvPair
|
||
variable "language"
|
||
value "VHDL"
|
||
)
|
||
(vvPair
|
||
variable "library"
|
||
value "Cursor"
|
||
)
|
||
(vvPair
|
||
variable "library_downstream_ModelSimCompiler"
|
||
value "$SCRATCH_DIR/Cursor/work"
|
||
)
|
||
(vvPair
|
||
variable "mm"
|
||
value "12"
|
||
)
|
||
(vvPair
|
||
variable "module_name"
|
||
value "Main"
|
||
)
|
||
(vvPair
|
||
variable "month"
|
||
value "d<>c."
|
||
)
|
||
(vvPair
|
||
variable "month_long"
|
||
value "d<>cembre"
|
||
)
|
||
(vvPair
|
||
variable "p"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\struct.bd"
|
||
)
|
||
(vvPair
|
||
variable "p_logical"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\struct.bd"
|
||
)
|
||
(vvPair
|
||
variable "package_name"
|
||
value "<Undefined Variable>"
|
||
)
|
||
(vvPair
|
||
variable "project_name"
|
||
value "hds"
|
||
)
|
||
(vvPair
|
||
variable "series"
|
||
value "HDL Designer Series"
|
||
)
|
||
(vvPair
|
||
variable "this_ext"
|
||
value "bd"
|
||
)
|
||
(vvPair
|
||
variable "this_file"
|
||
value "struct"
|
||
)
|
||
(vvPair
|
||
variable "this_file_logical"
|
||
value "struct"
|
||
)
|
||
(vvPair
|
||
variable "time"
|
||
value "09:46:16"
|
||
)
|
||
(vvPair
|
||
variable "unit"
|
||
value "Main"
|
||
)
|
||
(vvPair
|
||
variable "user"
|
||
value "Simon"
|
||
)
|
||
(vvPair
|
||
variable "version"
|
||
value "2019.2 (Build 5)"
|
||
)
|
||
(vvPair
|
||
variable "view"
|
||
value "struct"
|
||
)
|
||
(vvPair
|
||
variable "year"
|
||
value "2021"
|
||
)
|
||
(vvPair
|
||
variable "yy"
|
||
value "21"
|
||
)
|
||
]
|
||
)
|
||
LanguageMgr "Vhdl2008LangMgr"
|
||
uid 244,0
|
||
optionalChildren [
|
||
*1 (PortIoIn
|
||
uid 9,0
|
||
shape (CompositeShape
|
||
uid 10,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 11,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,16625,-500,17375"
|
||
)
|
||
(Line
|
||
uid 12,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,17000,0,17000"
|
||
pts [
|
||
"-500,17000"
|
||
"0,17000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 13,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 14,0
|
||
va (VaSet
|
||
)
|
||
xt "-7800,16400,-3000,17600"
|
||
st "Position"
|
||
ju 2
|
||
blo "-3000,17400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*2 (PortIoIn
|
||
uid 9,0
|
||
shape (CompositeShape
|
||
uid 10,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 11,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,-375,-500,375"
|
||
)
|
||
(Line
|
||
uid 12,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,0,0,0"
|
||
pts [
|
||
"-500,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 13,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 14,0
|
||
va (VaSet
|
||
)
|
||
xt "-6900,-600,-3000,600"
|
||
st "button"
|
||
ju 2
|
||
blo "-3000,400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*3 (Net
|
||
uid 21,0
|
||
lang 11
|
||
decl (Decl
|
||
n "button"
|
||
t "unsigned"
|
||
b "(3 DOWNTO 0)"
|
||
o 2
|
||
suid 1,0
|
||
)
|
||
declText (MLText
|
||
uid 22,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,3200,39000,4000"
|
||
st "button : unsigned(3 DOWNTO 0)"
|
||
)
|
||
)
|
||
*4 (PortIoIn
|
||
uid 23,0
|
||
shape (CompositeShape
|
||
uid 24,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 25,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,-3375,-500,-2625"
|
||
)
|
||
(Line
|
||
uid 26,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,-3000,0,-3000"
|
||
pts [
|
||
"-500,-3000"
|
||
"0,-3000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 27,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 28,0
|
||
va (VaSet
|
||
)
|
||
xt "-5200,-3600,-3000,-2400"
|
||
st "clk"
|
||
ju 2
|
||
blo "-3000,-2600"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*5 (PortIoOut
|
||
uid 37,0
|
||
shape (CompositeShape
|
||
uid 38,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 39,0
|
||
sl 0
|
||
ro 270
|
||
xt "114500,42625,116000,43375"
|
||
)
|
||
(Line
|
||
uid 40,0
|
||
sl 0
|
||
ro 270
|
||
xt "114000,43000,114500,43000"
|
||
pts [
|
||
"114000,43000"
|
||
"114500,43000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 41,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 42,0
|
||
va (VaSet
|
||
)
|
||
xt "117000,42400,120700,43600"
|
||
st "Power"
|
||
blo "117000,43400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*6 (Net
|
||
uid 49,0
|
||
lang 11
|
||
decl (Decl
|
||
n "Position"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 1
|
||
suid 3,0
|
||
)
|
||
declText (MLText
|
||
uid 50,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,2400,39500,3200"
|
||
st "Position : unsigned(15 DOWNTO 0)"
|
||
)
|
||
)
|
||
*7 (Net
|
||
uid 63,0
|
||
lang 11
|
||
decl (Decl
|
||
n "Power"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 8
|
||
suid 4,0
|
||
)
|
||
declText (MLText
|
||
uid 64,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,8000,39000,8800"
|
||
st "Power : unsigned(7 DOWNTO 0)"
|
||
)
|
||
)
|
||
*8 (PortIoOut
|
||
uid 65,0
|
||
shape (CompositeShape
|
||
uid 66,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 67,0
|
||
sl 0
|
||
ro 270
|
||
xt "114500,44625,116000,45375"
|
||
)
|
||
(Line
|
||
uid 68,0
|
||
sl 0
|
||
ro 270
|
||
xt "114000,45000,114500,45000"
|
||
pts [
|
||
"114000,45000"
|
||
"114500,45000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 69,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 70,0
|
||
va (VaSet
|
||
)
|
||
xt "117000,44400,120500,45600"
|
||
st "SideL"
|
||
blo "117000,45400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*9 (PortIoOut
|
||
uid 65,0
|
||
shape (CompositeShape
|
||
uid 66,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 67,0
|
||
sl 0
|
||
ro 270
|
||
xt "115500,21625,117000,22375"
|
||
)
|
||
(Line
|
||
uid 68,0
|
||
sl 0
|
||
ro 270
|
||
xt "115000,22000,115500,22000"
|
||
pts [
|
||
"115000,22000"
|
||
"115500,22000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 69,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 70,0
|
||
va (VaSet
|
||
)
|
||
xt "118000,21400,120700,22600"
|
||
st "RaZ"
|
||
blo "118000,22400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*10 (Net
|
||
uid 77,0
|
||
lang 11
|
||
decl (Decl
|
||
n "RaZ"
|
||
t "std_ulogic"
|
||
o 9
|
||
suid 5,0
|
||
)
|
||
declText (MLText
|
||
uid 78,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,8800,34000,9600"
|
||
st "RaZ : std_ulogic"
|
||
)
|
||
)
|
||
*11 (PortIoIn
|
||
uid 79,0
|
||
shape (CompositeShape
|
||
uid 80,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 81,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,-5375,-500,-4625"
|
||
)
|
||
(Line
|
||
uid 82,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,-5000,0,-5000"
|
||
pts [
|
||
"-500,-5000"
|
||
"0,-5000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 83,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 84,0
|
||
va (VaSet
|
||
)
|
||
xt "-5100,-5600,-3000,-4400"
|
||
st "rst"
|
||
ju 2
|
||
blo "-3000,-4600"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*12 (PortIoIn
|
||
uid 93,0
|
||
shape (CompositeShape
|
||
uid 94,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 95,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,13625,-500,14375"
|
||
)
|
||
(Line
|
||
uid 96,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,14000,0,14000"
|
||
pts [
|
||
"-500,14000"
|
||
"0,14000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 97,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 98,0
|
||
va (VaSet
|
||
)
|
||
xt "-10000,13400,-3000,14600"
|
||
st "sensor1(0)"
|
||
ju 2
|
||
blo "-3000,14400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*13 (Net
|
||
uid 105,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sensor1"
|
||
t "std_uLogic"
|
||
o 5
|
||
suid 7,0
|
||
)
|
||
declText (MLText
|
||
uid 106,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,5600,34000,6400"
|
||
st "sensor1 : std_uLogic"
|
||
)
|
||
)
|
||
*14 (PortIoIn
|
||
uid 107,0
|
||
shape (CompositeShape
|
||
uid 108,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 109,0
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,14625,-500,15375"
|
||
)
|
||
(Line
|
||
uid 110,0
|
||
sl 0
|
||
ro 270
|
||
xt "-500,15000,0,15000"
|
||
pts [
|
||
"-500,15000"
|
||
"0,15000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 111,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 112,0
|
||
va (VaSet
|
||
)
|
||
xt "-10000,14400,-3000,15600"
|
||
st "sensor2(1)"
|
||
ju 2
|
||
blo "-3000,15400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*15 (Net
|
||
uid 119,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sensor2"
|
||
t "std_uLogic"
|
||
o 6
|
||
suid 8,0
|
||
)
|
||
declText (MLText
|
||
uid 120,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,6400,34000,7200"
|
||
st "sensor2 : std_uLogic"
|
||
)
|
||
)
|
||
*16 (Net
|
||
uid 133,0
|
||
lang 11
|
||
decl (Decl
|
||
n "SideL"
|
||
t "std_ulogic"
|
||
o 10
|
||
suid 9,0
|
||
)
|
||
declText (MLText
|
||
uid 134,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,9600,34000,10400"
|
||
st "SideL : std_ulogic"
|
||
)
|
||
)
|
||
*17 (PortIoIn
|
||
uid 135,0
|
||
shape (CompositeShape
|
||
uid 136,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 137,0
|
||
sl 0
|
||
ro 270
|
||
xt "9000,43625,10500,44375"
|
||
)
|
||
(Line
|
||
uid 138,0
|
||
sl 0
|
||
ro 270
|
||
xt "10500,44000,11000,44000"
|
||
pts [
|
||
"10500,44000"
|
||
"11000,44000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 139,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 140,0
|
||
va (VaSet
|
||
)
|
||
xt "2600,43400,8000,44600"
|
||
st "testMode"
|
||
ju 2
|
||
blo "8000,44400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*18 (Net
|
||
uid 147,0
|
||
lang 11
|
||
decl (Decl
|
||
n "testMode"
|
||
t "std_uLogic"
|
||
o 7
|
||
suid 10,0
|
||
)
|
||
declText (MLText
|
||
uid 148,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,7200,34000,8000"
|
||
st "testMode : std_uLogic"
|
||
)
|
||
)
|
||
*19 (PortIoOut
|
||
uid 149,0
|
||
shape (CompositeShape
|
||
uid 150,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 151,0
|
||
sl 0
|
||
ro 270
|
||
xt "115500,19625,117000,20375"
|
||
)
|
||
(Line
|
||
uid 152,0
|
||
sl 0
|
||
ro 270
|
||
xt "115000,20000,115500,20000"
|
||
pts [
|
||
"115000,20000"
|
||
"115500,20000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 153,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 154,0
|
||
va (VaSet
|
||
)
|
||
xt "118000,19400,122600,20600"
|
||
st "testOut"
|
||
blo "118000,20400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*20 (Net
|
||
uid 161,0
|
||
lang 11
|
||
decl (Decl
|
||
n "testOut"
|
||
t "std_uLogic_vector"
|
||
b "(1 TO testLineNb)"
|
||
o 11
|
||
suid 11,0
|
||
)
|
||
declText (MLText
|
||
uid 162,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,10400,46500,11200"
|
||
st "testOut : std_uLogic_vector(1 TO testLineNb)"
|
||
)
|
||
)
|
||
*21 (PortIoOut
|
||
uid 163,0
|
||
shape (CompositeShape
|
||
uid 164,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 165,0
|
||
sl 0
|
||
ro 270
|
||
xt "114500,37625,116000,38375"
|
||
)
|
||
(Line
|
||
uid 166,0
|
||
sl 0
|
||
ro 270
|
||
xt "114000,38000,114500,38000"
|
||
pts [
|
||
"114000,38000"
|
||
"114500,38000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 167,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 168,0
|
||
va (VaSet
|
||
)
|
||
xt "117000,37400,121000,38600"
|
||
st "unlock"
|
||
blo "117000,38400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*22 (Net
|
||
uid 175,0
|
||
lang 11
|
||
decl (Decl
|
||
n "unlock"
|
||
t "std_ulogic"
|
||
o 12
|
||
suid 12,0
|
||
)
|
||
declText (MLText
|
||
uid 176,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,11200,34000,12000"
|
||
st "unlock : std_ulogic"
|
||
)
|
||
)
|
||
*23 (Grouping
|
||
uid 201,0
|
||
optionalChildren [
|
||
*24 (CommentText
|
||
uid 203,0
|
||
shape (Rectangle
|
||
uid 204,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "27000,49000,44000,50000"
|
||
)
|
||
oxt "18000,70000,35000,71000"
|
||
text (MLText
|
||
uid 205,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "27200,49000,37000,50000"
|
||
st "
|
||
by %user on %dd %month %year
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*25 (CommentText
|
||
uid 206,0
|
||
shape (Rectangle
|
||
uid 207,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "44000,45000,48000,46000"
|
||
)
|
||
oxt "35000,66000,39000,67000"
|
||
text (MLText
|
||
uid 208,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "44200,45000,47200,46000"
|
||
st "
|
||
Project:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*26 (CommentText
|
||
uid 209,0
|
||
shape (Rectangle
|
||
uid 210,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "27000,47000,44000,48000"
|
||
)
|
||
oxt "18000,68000,35000,69000"
|
||
text (MLText
|
||
uid 211,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "27200,47000,37200,48000"
|
||
st "
|
||
<enter diagram title here>
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*27 (CommentText
|
||
uid 212,0
|
||
shape (Rectangle
|
||
uid 213,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "23000,47000,27000,48000"
|
||
)
|
||
oxt "14000,68000,18000,69000"
|
||
text (MLText
|
||
uid 214,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "23200,47000,25300,48000"
|
||
st "
|
||
Title:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*28 (CommentText
|
||
uid 215,0
|
||
shape (Rectangle
|
||
uid 216,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "44000,46000,64000,50000"
|
||
)
|
||
oxt "35000,67000,55000,71000"
|
||
text (MLText
|
||
uid 217,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "44200,46200,53600,47200"
|
||
st "
|
||
<enter comments here>
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 4000
|
||
visibleWidth 20000
|
||
)
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*29 (CommentText
|
||
uid 218,0
|
||
shape (Rectangle
|
||
uid 219,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "48000,45000,64000,46000"
|
||
)
|
||
oxt "39000,66000,55000,67000"
|
||
text (MLText
|
||
uid 220,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "48200,45000,49800,46000"
|
||
st "
|
||
%project_name
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 16000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*30 (CommentText
|
||
uid 221,0
|
||
shape (Rectangle
|
||
uid 222,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "23000,45000,44000,47000"
|
||
)
|
||
oxt "14000,66000,35000,68000"
|
||
text (MLText
|
||
uid 223,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "32768,0,0"
|
||
)
|
||
xt "28350,45400,38650,46600"
|
||
st "
|
||
<company name>
|
||
"
|
||
ju 0
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 2000
|
||
visibleWidth 21000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*31 (CommentText
|
||
uid 224,0
|
||
shape (Rectangle
|
||
uid 225,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "23000,48000,27000,49000"
|
||
)
|
||
oxt "14000,69000,18000,70000"
|
||
text (MLText
|
||
uid 226,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "23200,48000,25300,49000"
|
||
st "
|
||
Path:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*32 (CommentText
|
||
uid 227,0
|
||
shape (Rectangle
|
||
uid 228,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "23000,49000,27000,50000"
|
||
)
|
||
oxt "14000,70000,18000,71000"
|
||
text (MLText
|
||
uid 229,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "23200,49000,25900,50000"
|
||
st "
|
||
Edited:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*33 (CommentText
|
||
uid 230,0
|
||
shape (Rectangle
|
||
uid 231,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "27000,48000,44000,49000"
|
||
)
|
||
oxt "18000,69000,35000,70000"
|
||
text (MLText
|
||
uid 232,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "27200,48000,34400,49000"
|
||
st "
|
||
%library/%unit/%view
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
]
|
||
shape (GroupingShape
|
||
uid 202,0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65535,65535,65535"
|
||
lineStyle 2
|
||
lineWidth 2
|
||
)
|
||
xt "23000,45000,64000,50000"
|
||
)
|
||
oxt "14000,66000,55000,71000"
|
||
)
|
||
*34 (Blk
|
||
uid 351,0
|
||
shape (Rectangle
|
||
uid 352,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "47000,19000,68000,28000"
|
||
)
|
||
ttg (MlTextGroup
|
||
uid 353,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*35 (Text
|
||
uid 354,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "47600,24200,51300,25400"
|
||
st "Cursor"
|
||
blo "47600,25200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*36 (Text
|
||
uid 355,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "47600,25400,55700,26600"
|
||
st "process_cruse"
|
||
blo "47600,26400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*37 (Text
|
||
uid 356,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "47600,26600,50100,27800"
|
||
st "U_4"
|
||
blo "47600,27600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 357,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 358,0
|
||
text (MLText
|
||
uid 359,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "49600,31200,49600,31200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 360,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "47250,26250,48750,27750"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*38 (Blk
|
||
uid 361,0
|
||
shape (Rectangle
|
||
uid 362,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "74000,19000,95000,28000"
|
||
)
|
||
ttg (MlTextGroup
|
||
uid 363,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*39 (Text
|
||
uid 364,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "74600,24200,78300,25400"
|
||
st "Cursor"
|
||
blo "74600,25200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*40 (Text
|
||
uid 365,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "74600,25400,86900,26600"
|
||
st "process_deceleration"
|
||
blo "74600,26400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*41 (Text
|
||
uid 366,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "74600,26600,77100,27800"
|
||
st "U_5"
|
||
blo "74600,27600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 367,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 368,0
|
||
text (MLText
|
||
uid 369,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "75600,31200,75600,31200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 370,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "74250,26250,75750,27750"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*42 (Blk
|
||
uid 371,0
|
||
shape (Rectangle
|
||
uid 372,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "24000,2000,36000,9000"
|
||
)
|
||
ttg (MlTextGroup
|
||
uid 373,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*43 (Text
|
||
uid 374,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "24600,4200,28300,5400"
|
||
st "Cursor"
|
||
blo "24600,5200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*44 (Text
|
||
uid 375,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "24600,5400,37100,6600"
|
||
st "selector_acceleration"
|
||
blo "24600,6400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*45 (Text
|
||
uid 376,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "24600,6600,27100,7800"
|
||
st "U_6"
|
||
blo "24600,7600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 377,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 378,0
|
||
text (MLText
|
||
uid 379,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "25600,12200,25600,12200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 380,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "24250,7250,25750,8750"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*46 (Blk
|
||
uid 381,0
|
||
shape (Rectangle
|
||
uid 382,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "51000,2000,63000,9000"
|
||
)
|
||
ttg (MlTextGroup
|
||
uid 383,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*47 (Text
|
||
uid 384,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "51600,4200,55300,5400"
|
||
st "Cursor"
|
||
blo "51600,5200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*48 (Text
|
||
uid 385,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "51600,5400,60000,6600"
|
||
st "selector_cruse"
|
||
blo "51600,6400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*49 (Text
|
||
uid 386,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "51600,6600,54100,7800"
|
||
st "U_7"
|
||
blo "51600,7600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 387,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 388,0
|
||
text (MLText
|
||
uid 389,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "52600,12200,52600,12200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 390,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "51250,7250,52750,8750"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*50 (Blk
|
||
uid 391,0
|
||
shape (Rectangle
|
||
uid 392,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "78000,2000,90000,9000"
|
||
)
|
||
ttg (MlTextGroup
|
||
uid 393,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*51 (Text
|
||
uid 394,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "78600,4200,82300,5400"
|
||
st "Cursor"
|
||
blo "78600,5200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*52 (Text
|
||
uid 395,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "78600,5400,91200,6600"
|
||
st "selector_deceleration"
|
||
blo "78600,6400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*53 (Text
|
||
uid 396,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "78600,6600,81100,7800"
|
||
st "U_8"
|
||
blo "78600,7600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 397,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 398,0
|
||
text (MLText
|
||
uid 399,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "79600,12200,79600,12200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 400,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "78250,7250,79750,8750"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*54 (Net
|
||
uid 533,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sideL_acceleration"
|
||
t "std_ulogic"
|
||
o 23
|
||
suid 23,0
|
||
)
|
||
declText (MLText
|
||
uid 534,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*55 (Net
|
||
uid 535,0
|
||
lang 11
|
||
decl (Decl
|
||
n "power_acceleration"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 19
|
||
suid 24,0
|
||
)
|
||
declText (MLText
|
||
uid 536,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*56 (Net
|
||
uid 537,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sideL_cruse"
|
||
t "std_ulogic"
|
||
o 24
|
||
suid 25,0
|
||
)
|
||
declText (MLText
|
||
uid 538,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*57 (Net
|
||
uid 539,0
|
||
lang 11
|
||
decl (Decl
|
||
n "power_cruse"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 20
|
||
suid 26,0
|
||
)
|
||
declText (MLText
|
||
uid 540,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*58 (Net
|
||
uid 541,0
|
||
lang 11
|
||
decl (Decl
|
||
n "power_deceleration"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 21
|
||
suid 27,0
|
||
)
|
||
declText (MLText
|
||
uid 542,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*59 (Net
|
||
uid 543,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sideL_deceleration"
|
||
t "std_ulogic"
|
||
o 25
|
||
suid 28,0
|
||
)
|
||
declText (MLText
|
||
uid 544,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*60 (Net
|
||
uid 555,0
|
||
lang 11
|
||
decl (Decl
|
||
n "sensor_bus"
|
||
t "unsigned"
|
||
b "(1 DOWNTO 0)"
|
||
o 22
|
||
suid 30,0
|
||
)
|
||
declText (MLText
|
||
uid 556,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*61 (Net
|
||
uid 627,0
|
||
lang 11
|
||
decl (Decl
|
||
n "info_acceleration"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 16
|
||
suid 34,0
|
||
)
|
||
declText (MLText
|
||
uid 628,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*62 (Net
|
||
uid 629,0
|
||
lang 11
|
||
decl (Decl
|
||
n "info_cruse"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 17
|
||
suid 35,0
|
||
)
|
||
declText (MLText
|
||
uid 630,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*63 (Net
|
||
uid 631,0
|
||
lang 11
|
||
decl (Decl
|
||
n "info_deceleration"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 18
|
||
suid 36,0
|
||
)
|
||
declText (MLText
|
||
uid 632,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*64 (Net
|
||
uid 691,0
|
||
lang 11
|
||
decl (Decl
|
||
n "end_acceleration"
|
||
t "std_ulogic"
|
||
o 13
|
||
suid 39,0
|
||
)
|
||
declText (MLText
|
||
uid 692,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*65 (Net
|
||
uid 693,0
|
||
lang 11
|
||
decl (Decl
|
||
n "end_cruse"
|
||
t "std_ulogic"
|
||
o 14
|
||
suid 40,0
|
||
)
|
||
declText (MLText
|
||
uid 694,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*66 (Net
|
||
uid 705,0
|
||
lang 11
|
||
decl (Decl
|
||
n "end_deceleration"
|
||
t "std_ulogic"
|
||
o 15
|
||
suid 42,0
|
||
)
|
||
declText (MLText
|
||
uid 706,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*67 (Blk
|
||
uid 874,0
|
||
shape (Rectangle
|
||
uid 875,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "18000,34000,96000,40000"
|
||
)
|
||
oxt "0,0,8000,10000"
|
||
ttg (MlTextGroup
|
||
uid 876,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*68 (Text
|
||
uid 877,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,34200,29300,35400"
|
||
st "Cursor"
|
||
blo "25600,35200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*69 (Text
|
||
uid 878,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,35400,28900,36600"
|
||
st "move"
|
||
blo "25600,36400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*70 (Text
|
||
uid 879,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,36600,28100,37800"
|
||
st "U_0"
|
||
blo "25600,37600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 880,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 881,0
|
||
text (MLText
|
||
uid 882,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "25600,44200,25600,44200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 883,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "18250,38250,19750,39750"
|
||
iconName "StateMachineViewIcon.png"
|
||
iconMaskName "StateMachineViewIcon.msk"
|
||
ftype 3
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*71 (Net
|
||
uid 892,0
|
||
lang 11
|
||
decl (Decl
|
||
n "clk"
|
||
t "unsigned"
|
||
o 3
|
||
suid 43,0
|
||
)
|
||
declText (MLText
|
||
uid 893,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*72 (Net
|
||
uid 902,0
|
||
lang 11
|
||
decl (Decl
|
||
n "rst"
|
||
t "unsigned"
|
||
o 4
|
||
suid 44,0
|
||
)
|
||
declText (MLText
|
||
uid 903,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*73 (Blk
|
||
uid 958,0
|
||
shape (Rectangle
|
||
uid 959,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "20000,19000,41000,28000"
|
||
)
|
||
oxt "47000,19000,68000,28000"
|
||
ttg (MlTextGroup
|
||
uid 960,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*74 (Text
|
||
uid 961,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20600,24200,24300,25400"
|
||
st "Cursor"
|
||
blo "20600,25200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*75 (Text
|
||
uid 962,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20600,25400,32800,26600"
|
||
st "process_acceleration"
|
||
blo "20600,26400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*76 (Text
|
||
uid 963,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20600,26600,23100,27800"
|
||
st "U_9"
|
||
blo "20600,27600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 964,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 965,0
|
||
text (MLText
|
||
uid 966,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22600,31200,22600,31200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 967,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "20250,26250,21750,27750"
|
||
iconName "BlockDiagram.png"
|
||
iconMaskName "BlockDiagram.msk"
|
||
ftype 1
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*77 (Net
|
||
uid 1131,0
|
||
lang 11
|
||
decl (Decl
|
||
n "skip_acceleration"
|
||
t "unsigned"
|
||
o 26
|
||
suid 46,0
|
||
)
|
||
declText (MLText
|
||
uid 1132,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*78 (Wire
|
||
uid 15,0
|
||
optionalChildren [
|
||
*79 (BdJunction
|
||
uid 567,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 568,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "52600,-400,53400,400"
|
||
radius 400
|
||
)
|
||
)
|
||
*80 (BdJunction
|
||
uid 575,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 576,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "25600,-400,26400,400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 16,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,79000,2000"
|
||
pts [
|
||
"0,0"
|
||
"79000,0"
|
||
"79000,2000"
|
||
]
|
||
)
|
||
start &2
|
||
end &50
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 19,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 20,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,-1200,10200,0"
|
||
st "button : (3:0)"
|
||
blo "2000,-200"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &3
|
||
)
|
||
*81 (Wire
|
||
uid 29,0
|
||
optionalChildren [
|
||
*82 (BdJunction
|
||
uid 639,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 640,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "55600,-3400,56400,-2600"
|
||
radius 400
|
||
)
|
||
)
|
||
*83 (BdJunction
|
||
uid 647,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 648,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "28600,-3400,29400,-2600"
|
||
radius 400
|
||
)
|
||
)
|
||
*84 (BdJunction
|
||
uid 916,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 917,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "7600,-3400,8400,-2600"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 30,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "0,-3000,82000,2000"
|
||
pts [
|
||
"0,-3000"
|
||
"82000,-3000"
|
||
"82000,2000"
|
||
]
|
||
)
|
||
start &4
|
||
end &50
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 33,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 34,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,-4200,4200,-3000"
|
||
st "clk"
|
||
blo "2000,-3200"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &71
|
||
)
|
||
*85 (Wire
|
||
uid 43,0
|
||
optionalChildren [
|
||
*86 (BdJunction
|
||
uid 467,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 468,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "23600,16600,24400,17400"
|
||
radius 400
|
||
)
|
||
)
|
||
*87 (BdJunction
|
||
uid 976,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 977,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "50600,16600,51400,17400"
|
||
radius 400
|
||
)
|
||
)
|
||
*88 (BdJunction
|
||
uid 1139,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 1140,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "12600,16600,13400,17400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 44,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "0,17000,51000,19000"
|
||
pts [
|
||
"0,17000"
|
||
"51000,17000"
|
||
"51000,19000"
|
||
]
|
||
)
|
||
start &1
|
||
end &34
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 47,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 48,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,15800,11800,17000"
|
||
st "Position : (15:0)"
|
||
blo "2000,16800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
*89 (Wire
|
||
uid 43,0
|
||
shape (OrthoPolyLine
|
||
uid 44,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "37000,40000,114000,43000"
|
||
pts [
|
||
"114000,43000"
|
||
"37000,43000"
|
||
"37000,40000"
|
||
]
|
||
)
|
||
start &5
|
||
end &67
|
||
sat 32
|
||
eat 2
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 47,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 48,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "113000,41800,121000,43000"
|
||
st "Power : (7:0)"
|
||
blo "113000,42800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &7
|
||
)
|
||
*90 (Wire
|
||
uid 71,0
|
||
shape (OrthoPolyLine
|
||
uid 72,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "95000,22000,115000,22000"
|
||
pts [
|
||
"115000,22000"
|
||
"95000,22000"
|
||
]
|
||
)
|
||
start &9
|
||
end &38
|
||
sat 32
|
||
eat 2
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 75,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 76,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "114000,20800,116700,22000"
|
||
st "RaZ"
|
||
blo "114000,21800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &10
|
||
)
|
||
*91 (Wire
|
||
uid 71,0
|
||
shape (OrthoPolyLine
|
||
uid 72,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "35000,40000,114000,45000"
|
||
pts [
|
||
"114000,45000"
|
||
"35000,45000"
|
||
"35000,40000"
|
||
]
|
||
)
|
||
start &8
|
||
end &67
|
||
sat 32
|
||
eat 2
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 75,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 76,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "113000,43800,116500,45000"
|
||
st "SideL"
|
||
blo "113000,44800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &16
|
||
)
|
||
*92 (Wire
|
||
uid 85,0
|
||
optionalChildren [
|
||
*93 (BdJunction
|
||
uid 655,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 656,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "57600,-5400,58400,-4600"
|
||
radius 400
|
||
)
|
||
)
|
||
*94 (BdJunction
|
||
uid 663,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 664,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "30600,-5400,31400,-4600"
|
||
radius 400
|
||
)
|
||
)
|
||
*95 (BdJunction
|
||
uid 918,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 919,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "5600,-5400,6400,-4600"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 86,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "0,-5000,84000,2000"
|
||
pts [
|
||
"0,-5000"
|
||
"84000,-5000"
|
||
"84000,2000"
|
||
]
|
||
)
|
||
start &11
|
||
end &50
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 89,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 90,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,-6200,4100,-5000"
|
||
st "rst"
|
||
blo "2000,-5200"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &72
|
||
)
|
||
*96 (Wire
|
||
uid 99,0
|
||
shape (OrthoPolyLine
|
||
uid 100,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "0,14000,69999,14000"
|
||
pts [
|
||
"0,14000"
|
||
"69999,14000"
|
||
]
|
||
)
|
||
start &12
|
||
end *97 (Ripper
|
||
uid 557,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Line2D
|
||
pts [
|
||
"71001,15000"
|
||
"70001,14000"
|
||
]
|
||
uid 558,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "70001,14000,71001,15000"
|
||
)
|
||
)
|
||
sat 32
|
||
eat 32
|
||
sl "(0)"
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 103,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 104,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,12800,9000,14000"
|
||
st "sensor1(0)"
|
||
blo "2000,13800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &13
|
||
)
|
||
*98 (Wire
|
||
uid 113,0
|
||
shape (OrthoPolyLine
|
||
uid 114,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "0,15000,69999,15000"
|
||
pts [
|
||
"0,15000"
|
||
"69999,15000"
|
||
]
|
||
)
|
||
start &14
|
||
end *99 (Ripper
|
||
uid 559,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Line2D
|
||
pts [
|
||
"71000,16000"
|
||
"70000,15000"
|
||
]
|
||
uid 560,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "70000,15000,71000,16000"
|
||
)
|
||
)
|
||
sat 32
|
||
eat 32
|
||
sl "(1)"
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 117,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 118,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "2000,13800,9000,15000"
|
||
st "sensor2(1)"
|
||
blo "2000,14800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &15
|
||
)
|
||
*100 (Wire
|
||
uid 141,0
|
||
shape (OrthoPolyLine
|
||
uid 142,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "11000,44000,21000,44000"
|
||
pts [
|
||
"11000,44000"
|
||
"21000,44000"
|
||
]
|
||
)
|
||
start &17
|
||
sat 32
|
||
eat 16
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 145,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 146,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "13000,42800,18400,44000"
|
||
st "testMode"
|
||
blo "13000,43800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &18
|
||
)
|
||
*101 (Wire
|
||
uid 155,0
|
||
shape (OrthoPolyLine
|
||
uid 156,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "105000,20000,115000,20000"
|
||
pts [
|
||
"115000,20000"
|
||
"105000,20000"
|
||
]
|
||
)
|
||
start &19
|
||
sat 32
|
||
eat 16
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 159,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 160,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "114000,18800,128400,20000"
|
||
st "testOut : (1:testLineNb)"
|
||
blo "114000,19800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &20
|
||
)
|
||
*102 (Wire
|
||
uid 169,0
|
||
optionalChildren [
|
||
*103 (BdJunction
|
||
uid 1147,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 1148,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "97600,37600,98400,38400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 170,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "96000,38000,114000,38000"
|
||
pts [
|
||
"114000,38000"
|
||
"96000,38000"
|
||
]
|
||
)
|
||
start &21
|
||
end &67
|
||
sat 32
|
||
eat 2
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 173,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 174,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "113000,36800,117000,38000"
|
||
st "unlock"
|
||
blo "113000,37800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &22
|
||
)
|
||
*104 (Wire
|
||
uid 461,0
|
||
shape (OrthoPolyLine
|
||
uid 462,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "24000,17000,24000,19000"
|
||
pts [
|
||
"24000,17000"
|
||
"24000,19000"
|
||
]
|
||
)
|
||
start &86
|
||
end &73
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 465,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 466,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "22800,13200,24000,18000"
|
||
st "Position"
|
||
blo "23800,18000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
*105 (Wire
|
||
uid 475,0
|
||
shape (OrthoPolyLine
|
||
uid 476,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "37000,28000,37000,34000"
|
||
pts [
|
||
"37000,28000"
|
||
"37000,34000"
|
||
]
|
||
)
|
||
start &73
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 481,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 482,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "35800,17600,37000,33000"
|
||
st "power_acceleration : (7:0)"
|
||
blo "36800,33000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &55
|
||
)
|
||
*106 (Wire
|
||
uid 485,0
|
||
shape (OrthoPolyLine
|
||
uid 486,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "35000,28000,35000,34000"
|
||
pts [
|
||
"35000,28000"
|
||
"35000,34000"
|
||
]
|
||
)
|
||
start &73
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 491,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 492,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "33800,22000,35000,32900"
|
||
st "sideL_acceleration"
|
||
blo "34800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &54
|
||
)
|
||
*107 (Wire
|
||
uid 495,0
|
||
shape (OrthoPolyLine
|
||
uid 496,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "64000,28000,64000,34000"
|
||
pts [
|
||
"64000,28000"
|
||
"64000,34000"
|
||
]
|
||
)
|
||
start &34
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 501,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 502,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "62800,21700,64000,33000"
|
||
st "power_cruse : (7:0)"
|
||
blo "63800,33000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &57
|
||
)
|
||
*108 (Wire
|
||
uid 505,0
|
||
shape (OrthoPolyLine
|
||
uid 506,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "62000,28000,62000,34000"
|
||
pts [
|
||
"62000,28000"
|
||
"62000,34000"
|
||
]
|
||
)
|
||
start &34
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 511,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 512,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "60800,25400,62000,32900"
|
||
st "sideL_cruse"
|
||
blo "61800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &56
|
||
)
|
||
*109 (Wire
|
||
uid 515,0
|
||
shape (OrthoPolyLine
|
||
uid 516,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "89000,28000,89000,34000"
|
||
pts [
|
||
"89000,28000"
|
||
"89000,34000"
|
||
]
|
||
)
|
||
start &38
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 521,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 522,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "87800,22000,89000,32900"
|
||
st "sideL_deceleration"
|
||
blo "88800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &59
|
||
)
|
||
*110 (Wire
|
||
uid 525,0
|
||
shape (OrthoPolyLine
|
||
uid 526,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "91000,28000,91000,34000"
|
||
pts [
|
||
"91000,28000"
|
||
"91000,34000"
|
||
]
|
||
)
|
||
start &38
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 531,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 532,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "89800,17600,91000,33000"
|
||
st "power_deceleration : (7:0)"
|
||
blo "90800,33000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &58
|
||
)
|
||
*111 (Wire
|
||
uid 547,0
|
||
optionalChildren [
|
||
&97
|
||
&99
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 548,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "68000,14000,71000,26000"
|
||
pts [
|
||
"68000,26000"
|
||
"71000,26000"
|
||
"71000,14000"
|
||
]
|
||
)
|
||
start &34
|
||
sat 2
|
||
eat 16
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 553,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 554,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "70000,24800,80800,26000"
|
||
st "sensor_bus : (1:0)"
|
||
blo "70000,25800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &60
|
||
)
|
||
*112 (Wire
|
||
uid 561,0
|
||
shape (OrthoPolyLine
|
||
uid 562,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "53000,0,53000,2000"
|
||
pts [
|
||
"53000,0"
|
||
"53000,2000"
|
||
]
|
||
)
|
||
start &79
|
||
end &46
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 565,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 566,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "51800,-2000,53000,1900"
|
||
st "button"
|
||
blo "52800,1900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &3
|
||
)
|
||
*113 (Wire
|
||
uid 569,0
|
||
shape (OrthoPolyLine
|
||
uid 570,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "26000,0,26000,2000"
|
||
pts [
|
||
"26000,0"
|
||
"26000,2000"
|
||
]
|
||
)
|
||
start &80
|
||
end &42
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 573,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 574,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "24800,-2000,26000,1900"
|
||
st "button"
|
||
blo "25800,1900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &3
|
||
)
|
||
*114 (Wire
|
||
uid 599,0
|
||
shape (OrthoPolyLine
|
||
uid 600,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "26000,9000,26000,19000"
|
||
pts [
|
||
"26000,9000"
|
||
"26000,19000"
|
||
]
|
||
)
|
||
start &42
|
||
end &73
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 605,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 606,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "24800,4100,26000,19100"
|
||
st "info_acceleration : (15:0)"
|
||
blo "25800,19100"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &61
|
||
)
|
||
*115 (Wire
|
||
uid 609,0
|
||
shape (OrthoPolyLine
|
||
uid 610,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "53000,9000,53000,19000"
|
||
pts [
|
||
"53000,9000"
|
||
"53000,19000"
|
||
]
|
||
)
|
||
start &46
|
||
end &34
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 615,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 616,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "51800,8200,53000,19100"
|
||
st "info_cruse : (15:0)"
|
||
blo "52800,19100"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &62
|
||
)
|
||
*116 (Wire
|
||
uid 619,0
|
||
shape (OrthoPolyLine
|
||
uid 620,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "80000,9000,80000,19000"
|
||
pts [
|
||
"80000,9000"
|
||
"80000,19000"
|
||
]
|
||
)
|
||
start &50
|
||
end &38
|
||
sat 2
|
||
eat 1
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 625,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 626,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "78800,4100,80000,19100"
|
||
st "info_deceleration : (15:0)"
|
||
blo "79800,19100"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &63
|
||
)
|
||
*117 (Wire
|
||
uid 633,0
|
||
shape (OrthoPolyLine
|
||
uid 634,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "56000,-3000,56000,2000"
|
||
pts [
|
||
"56000,-3000"
|
||
"56000,2000"
|
||
]
|
||
)
|
||
start &82
|
||
end &46
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 637,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 638,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "54800,-800,56000,1400"
|
||
st "clk"
|
||
blo "55800,1400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &71
|
||
)
|
||
*118 (Wire
|
||
uid 641,0
|
||
shape (OrthoPolyLine
|
||
uid 642,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "29000,-3000,29000,2000"
|
||
pts [
|
||
"29000,-3000"
|
||
"29000,2000"
|
||
]
|
||
)
|
||
start &83
|
||
end &42
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 645,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 646,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "27800,-800,29000,1400"
|
||
st "clk"
|
||
blo "28800,1400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &71
|
||
)
|
||
*119 (Wire
|
||
uid 649,0
|
||
shape (OrthoPolyLine
|
||
uid 650,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "58000,-5000,58000,2000"
|
||
pts [
|
||
"58000,-5000"
|
||
"58000,2000"
|
||
]
|
||
)
|
||
start &93
|
||
end &46
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 653,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 654,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "56800,-800,58000,1300"
|
||
st "rst"
|
||
blo "57800,1300"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &72
|
||
)
|
||
*120 (Wire
|
||
uid 657,0
|
||
shape (OrthoPolyLine
|
||
uid 658,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "31000,-5000,31000,2000"
|
||
pts [
|
||
"31000,-5000"
|
||
"31000,2000"
|
||
]
|
||
)
|
||
start &94
|
||
end &42
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 661,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 662,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "29800,-800,31000,1300"
|
||
st "rst"
|
||
blo "30800,1300"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &72
|
||
)
|
||
*121 (Wire
|
||
uid 673,0
|
||
shape (OrthoPolyLine
|
||
uid 674,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "39000,28000,39000,34000"
|
||
pts [
|
||
"39000,28000"
|
||
"39000,34000"
|
||
]
|
||
)
|
||
start &73
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 679,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 680,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "37800,22900,39000,32900"
|
||
st "end_acceleration"
|
||
blo "38800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &64
|
||
)
|
||
*122 (Wire
|
||
uid 683,0
|
||
shape (OrthoPolyLine
|
||
uid 684,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "66000,28000,66000,34000"
|
||
pts [
|
||
"66000,28000"
|
||
"66000,34000"
|
||
]
|
||
)
|
||
start &34
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 689,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 690,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "64800,27000,66000,32900"
|
||
st "end_cruse"
|
||
blo "65800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &65
|
||
)
|
||
*123 (Wire
|
||
uid 697,0
|
||
shape (OrthoPolyLine
|
||
uid 698,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "93000,28000,93000,34000"
|
||
pts [
|
||
"93000,28000"
|
||
"93000,34000"
|
||
]
|
||
)
|
||
start &38
|
||
end &67
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 703,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 704,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "91800,22900,93000,32900"
|
||
st "end_deceleration"
|
||
blo "92800,32900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &66
|
||
)
|
||
*124 (Wire
|
||
uid 884,0
|
||
optionalChildren [
|
||
*125 (BdJunction
|
||
uid 984,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 985,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "7600,20600,8400,21400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 885,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "8000,-3000,18000,35000"
|
||
pts [
|
||
"8000,-3000"
|
||
"8000,35000"
|
||
"18000,35000"
|
||
]
|
||
)
|
||
start &84
|
||
end &67
|
||
sat 32
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 890,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 891,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "15800,35000,17000,37200"
|
||
st "clk"
|
||
blo "16800,37200"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &71
|
||
)
|
||
*126 (Wire
|
||
uid 894,0
|
||
optionalChildren [
|
||
*127 (BdJunction
|
||
uid 1000,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 1001,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "5600,21600,6400,22400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 895,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "6000,-5000,18000,36000"
|
||
pts [
|
||
"6000,-5000"
|
||
"6000,36000"
|
||
"18000,36000"
|
||
]
|
||
)
|
||
start &95
|
||
end &67
|
||
sat 32
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 900,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 901,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "15800,36000,17000,38100"
|
||
st "rst"
|
||
blo "16800,38100"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &72
|
||
)
|
||
*128 (Wire
|
||
uid 970,0
|
||
shape (OrthoPolyLine
|
||
uid 971,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "51000,17000,78000,19000"
|
||
pts [
|
||
"51000,17000"
|
||
"78000,17000"
|
||
"78000,19000"
|
||
]
|
||
)
|
||
start &87
|
||
end &38
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 974,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 975,0
|
||
ro 270
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "76800,13000,78000,17800"
|
||
st "Position"
|
||
blo "77800,17800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
*129 (Wire
|
||
uid 978,0
|
||
shape (OrthoPolyLine
|
||
uid 979,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "8000,21000,20000,21000"
|
||
pts [
|
||
"8000,21000"
|
||
"20000,21000"
|
||
]
|
||
)
|
||
start &125
|
||
end &73
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 982,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 983,0
|
||
va (VaSet
|
||
)
|
||
xt "17000,19800,19200,21000"
|
||
st "clk"
|
||
blo "17000,20800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &71
|
||
)
|
||
*130 (Wire
|
||
uid 994,0
|
||
shape (OrthoPolyLine
|
||
uid 995,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "6000,22000,20000,22000"
|
||
pts [
|
||
"6000,22000"
|
||
"20000,22000"
|
||
]
|
||
)
|
||
start &127
|
||
end &73
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 998,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 999,0
|
||
va (VaSet
|
||
)
|
||
xt "17000,20800,19100,22000"
|
||
st "rst"
|
||
blo "17000,21800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &72
|
||
)
|
||
*131 (Wire
|
||
uid 1123,0
|
||
shape (OrthoPolyLine
|
||
uid 1124,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "29000,9000,29000,19000"
|
||
pts [
|
||
"29000,9000"
|
||
"29000,19000"
|
||
]
|
||
)
|
||
start &42
|
||
end &73
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 1129,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 1130,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "27800,7700,29000,18000"
|
||
st "skip_acceleration"
|
||
blo "28800,18000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &77
|
||
)
|
||
*132 (Wire
|
||
uid 1133,0
|
||
shape (OrthoPolyLine
|
||
uid 1134,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "13000,5000,24000,17000"
|
||
pts [
|
||
"13000,17000"
|
||
"13000,5000"
|
||
"24000,5000"
|
||
]
|
||
)
|
||
start &88
|
||
end &42
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 1137,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 1138,0
|
||
va (VaSet
|
||
)
|
||
xt "18000,3800,22800,5000"
|
||
st "Position"
|
||
blo "18000,4800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
*133 (Wire
|
||
uid 1141,0
|
||
optionalChildren [
|
||
*134 (BdJunction
|
||
uid 1155,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 1156,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "69600,30600,70400,31400"
|
||
radius 400
|
||
)
|
||
)
|
||
*135 (BdJunction
|
||
uid 1163,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 1164,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "96600,30600,97400,31400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 1142,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "41000,25000,98000,38000"
|
||
pts [
|
||
"98000,38000"
|
||
"98000,31000"
|
||
"43000,31000"
|
||
"43000,25000"
|
||
"41000,25000"
|
||
]
|
||
)
|
||
start &103
|
||
end &73
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 1145,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 1146,0
|
||
va (VaSet
|
||
)
|
||
xt "43000,23800,47000,25000"
|
||
st "unlock"
|
||
blo "43000,24800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &22
|
||
)
|
||
*136 (Wire
|
||
uid 1149,0
|
||
shape (OrthoPolyLine
|
||
uid 1150,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "68000,24000,70000,31000"
|
||
pts [
|
||
"70000,31000"
|
||
"70000,24000"
|
||
"68000,24000"
|
||
]
|
||
)
|
||
start &134
|
||
end &34
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 1153,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 1154,0
|
||
va (VaSet
|
||
)
|
||
xt "70000,22800,74000,24000"
|
||
st "unlock"
|
||
blo "70000,23800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &22
|
||
)
|
||
*137 (Wire
|
||
uid 1157,0
|
||
shape (OrthoPolyLine
|
||
uid 1158,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "95000,25000,97000,31000"
|
||
pts [
|
||
"97000,31000"
|
||
"97000,25000"
|
||
"95000,25000"
|
||
]
|
||
)
|
||
start &135
|
||
end &38
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 1161,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 1162,0
|
||
va (VaSet
|
||
)
|
||
xt "97000,23800,101000,25000"
|
||
st "unlock"
|
||
blo "97000,24800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &22
|
||
)
|
||
]
|
||
bg "65535,65535,65535"
|
||
grid (Grid
|
||
origin "0,0"
|
||
isVisible 1
|
||
isActive 1
|
||
xSpacing 1000
|
||
xySpacing 1000
|
||
xShown 1
|
||
yShown 1
|
||
color "26368,26368,26368"
|
||
)
|
||
packageList *138 (PackageList
|
||
uid 233,0
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*139 (Text
|
||
uid 234,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,0,7600,1200"
|
||
st "Package List"
|
||
blo "0,1000"
|
||
)
|
||
*140 (MLText
|
||
uid 235,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "0,1200,17500,4800"
|
||
st "LIBRARY ieee;
|
||
USE ieee.std_logic_1164.all;
|
||
USE ieee.numeric_std.all;"
|
||
tm "PackageList"
|
||
)
|
||
]
|
||
)
|
||
compDirBlock (MlTextGroup
|
||
uid 236,0
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*141 (Text
|
||
uid 237,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,30800,1200"
|
||
st "Compiler Directives"
|
||
blo "20000,1000"
|
||
)
|
||
*142 (Text
|
||
uid 238,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,1200,33100,2400"
|
||
st "Pre-module directives:"
|
||
blo "20000,2200"
|
||
)
|
||
*143 (MLText
|
||
uid 239,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,2400,32100,4800"
|
||
st "`resetall
|
||
`timescale 1ns/10ps"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
*144 (Text
|
||
uid 240,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,4800,33700,6000"
|
||
st "Post-module directives:"
|
||
blo "20000,5800"
|
||
)
|
||
*145 (MLText
|
||
uid 241,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
*146 (Text
|
||
uid 242,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,6000,33200,7200"
|
||
st "End-module directives:"
|
||
blo "20000,7000"
|
||
)
|
||
*147 (MLText
|
||
uid 243,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,7200,20000,7200"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
]
|
||
associable 1
|
||
)
|
||
windowSize "-8,-8,1722,1111"
|
||
viewArea "6198,-12500,123354,64798"
|
||
cachedDiagramExtent "-10000,-6200,128400,50000"
|
||
hasePageBreakOrigin 1
|
||
pageBreakOrigin "-82000,-49000"
|
||
lastUid 1166,0
|
||
defaultCommentText (CommentText
|
||
shape (Rectangle
|
||
layer 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65280,65280,46080"
|
||
lineColor "0,0,32768"
|
||
)
|
||
xt "0,0,15000,5000"
|
||
)
|
||
text (MLText
|
||
va (VaSet
|
||
fg "0,0,32768"
|
||
)
|
||
xt "200,200,3200,1400"
|
||
st "
|
||
Text
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 4600
|
||
visibleWidth 14600
|
||
)
|
||
)
|
||
defaultRequirementText (RequirementText
|
||
shape (ZoomableIcon
|
||
layer 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "59904,39936,65280"
|
||
lineColor "0,0,32768"
|
||
)
|
||
xt "0,0,1500,1750"
|
||
iconName "reqTracerRequirement.bmp"
|
||
iconMaskName "reqTracerRequirement.msk"
|
||
)
|
||
autoResize 1
|
||
text (MLText
|
||
va (VaSet
|
||
fg "0,0,32768"
|
||
font "arial,8,0"
|
||
)
|
||
xt "500,2150,1400,3150"
|
||
st "
|
||
Text
|
||
"
|
||
tm "RequirementText"
|
||
wrapOption 3
|
||
visibleHeight 1350
|
||
visibleWidth 1100
|
||
)
|
||
)
|
||
defaultPanel (Panel
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "32768,0,0"
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1000,1000,5000,2200"
|
||
st "Panel0"
|
||
blo "1000,2000"
|
||
tm "PanelText"
|
||
)
|
||
)
|
||
)
|
||
defaultBlk (Blk
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*148 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,3200,6700,4400"
|
||
st "<library>"
|
||
blo "1300,4200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*149 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,4400,6100,5600"
|
||
st "<block>"
|
||
blo "1300,5400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*150 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,5600,3800,6800"
|
||
st "U_0"
|
||
blo "1300,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "1300,13200,1300,13200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
defaultMWComponent (MWC
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-850,0,8850,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*151 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,3200,3750,4400"
|
||
st "Library"
|
||
blo "-350,4200"
|
||
)
|
||
*152 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,4400,8350,5600"
|
||
st "MWComponent"
|
||
blo "-350,5400"
|
||
)
|
||
*153 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,5600,2150,6800"
|
||
st "U_0"
|
||
blo "-350,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7350,1200,-7350,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
portVis (PortSigDisplay
|
||
)
|
||
prms (Property
|
||
pclass "params"
|
||
pname "params"
|
||
ptn "String"
|
||
)
|
||
visOptions (mwParamsVisibilityOptions
|
||
)
|
||
)
|
||
defaultSaComponent (SaComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*154 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,3200,4100,4400"
|
||
st "Library"
|
||
blo "0,4200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*155 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,4400,8000,5600"
|
||
st "SaComponent"
|
||
blo "0,5400"
|
||
tm "CptNameMgr"
|
||
)
|
||
*156 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,5600,2500,6800"
|
||
st "U_0"
|
||
blo "0,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7000,1200,-7000,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
portVis (PortSigDisplay
|
||
)
|
||
archFileType "UNKNOWN"
|
||
)
|
||
defaultVhdlComponent (VhdlComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-1000,0,9000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*157 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,3200,3600,4400"
|
||
st "Library"
|
||
blo "-500,4200"
|
||
)
|
||
*158 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,4400,8500,5600"
|
||
st "VhdlComponent"
|
||
blo "-500,5400"
|
||
)
|
||
*159 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,5600,2000,6800"
|
||
st "U_0"
|
||
blo "-500,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7500,1200,-7500,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
portVis (PortSigDisplay
|
||
)
|
||
entityPath ""
|
||
archName ""
|
||
archPath ""
|
||
)
|
||
defaultVerilogComponent (VerilogComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-1650,0,9650,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*160 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,3200,2950,4400"
|
||
st "Library"
|
||
blo "-1150,4200"
|
||
)
|
||
*161 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,4400,9150,5600"
|
||
st "VerilogComponent"
|
||
blo "-1150,5400"
|
||
)
|
||
*162 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,5600,1350,6800"
|
||
st "U_0"
|
||
blo "-1150,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-8150,1200,-8150,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
entityPath ""
|
||
)
|
||
defaultHdlText (HdlText
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,37120"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*163 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "2800,3800,5200,5000"
|
||
st "eb1"
|
||
blo "2800,4800"
|
||
tm "HdlTextNameMgr"
|
||
)
|
||
*164 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "2800,5000,4000,6200"
|
||
st "1"
|
||
blo "2800,6000"
|
||
tm "HdlTextNumberMgr"
|
||
)
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
defaultEmbeddedText (EmbeddedText
|
||
commentText (CommentText
|
||
ps "CenterOffsetStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,18000,5000"
|
||
)
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "200,200,3200,1400"
|
||
st "
|
||
Text
|
||
"
|
||
tm "HdlTextMgr"
|
||
wrapOption 3
|
||
visibleHeight 4600
|
||
visibleWidth 17600
|
||
)
|
||
)
|
||
)
|
||
defaultGlobalConnector (GlobalConnector
|
||
shape (Circle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,0"
|
||
)
|
||
xt "-1000,-1000,1000,1000"
|
||
radius 1000
|
||
)
|
||
name (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-650,-600,650,600"
|
||
st "G"
|
||
blo "-650,400"
|
||
)
|
||
)
|
||
defaultRipper (Ripper
|
||
ps "OnConnectorStrategy"
|
||
shape (Line2D
|
||
pts [
|
||
"0,0"
|
||
"1000,1000"
|
||
]
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "0,0,1000,1000"
|
||
)
|
||
)
|
||
defaultBdJunction (BdJunction
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "-400,-400,400,400"
|
||
radius 400
|
||
)
|
||
)
|
||
defaultPortIoIn (PortIoIn
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,-375,-500,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
ro 270
|
||
xt "-500,0,0,0"
|
||
pts [
|
||
"-500,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "-1375,-1000,-1375,-1000"
|
||
ju 2
|
||
blo "-1375,-1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoOut (PortIoOut
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
sl 0
|
||
ro 270
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
ro 270
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "625,-1000,625,-1000"
|
||
blo "625,-1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoInOut (PortIoInOut
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Hexagon
|
||
sl 0
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,-375,0,-375"
|
||
blo "0,-375"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoBuffer (PortIoBuffer
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Hexagon
|
||
sl 0
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,-375,0,-375"
|
||
blo "0,-375"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultSignal (Wire
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,2900,1200"
|
||
st "sig0"
|
||
blo "0,1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultBus (Wire
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,3800,1200"
|
||
st "dbus0"
|
||
blo "0,1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultBundle (Bundle
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
lineColor "32768,0,0"
|
||
lineWidth 2
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
textGroup (BiTextGroup
|
||
ps "ConnStartEndStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
first (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,4700,1200"
|
||
st "bundle0"
|
||
blo "0,1000"
|
||
tm "BundleNameMgr"
|
||
)
|
||
second (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,1200,1500,2400"
|
||
st "()"
|
||
tm "BundleContentsMgr"
|
||
)
|
||
)
|
||
bundleNet &0
|
||
)
|
||
defaultPortMapFrame (PortMapFrame
|
||
ps "PortMapFrameStrategy"
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,10000,12000"
|
||
)
|
||
portMapText (BiTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
first (MLText
|
||
va (VaSet
|
||
)
|
||
)
|
||
second (MLText
|
||
va (VaSet
|
||
)
|
||
tm "PortMapTextMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultGenFrame (Frame
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "26368,26368,26368"
|
||
lineStyle 2
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,-1300,18500,-100"
|
||
st "g0: FOR i IN 0 TO n GENERATE"
|
||
tm "FrameTitleTextMgr"
|
||
)
|
||
)
|
||
seqNum (FrameSequenceNumber
|
||
ps "TopLeftStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "50,50,1850,1650"
|
||
)
|
||
num (Text
|
||
va (VaSet
|
||
)
|
||
xt "250,250,1650,1450"
|
||
st "1"
|
||
blo "250,1250"
|
||
tm "FrameSeqNumMgr"
|
||
)
|
||
)
|
||
decls (MlTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*165 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "11200,20000,22000,21200"
|
||
st "Frame Declarations"
|
||
blo "11200,21000"
|
||
)
|
||
*166 (MLText
|
||
va (VaSet
|
||
)
|
||
xt "11200,21200,11200,21200"
|
||
tm "BdFrameDeclTextMgr"
|
||
)
|
||
]
|
||
)
|
||
)
|
||
defaultBlockFrame (Frame
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "26368,26368,26368"
|
||
lineStyle 1
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,-1300,11000,-100"
|
||
st "b0: BLOCK (guard)"
|
||
tm "FrameTitleTextMgr"
|
||
)
|
||
)
|
||
seqNum (FrameSequenceNumber
|
||
ps "TopLeftStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "50,50,1850,1650"
|
||
)
|
||
num (Text
|
||
va (VaSet
|
||
)
|
||
xt "250,250,1650,1450"
|
||
st "1"
|
||
blo "250,1250"
|
||
tm "FrameSeqNumMgr"
|
||
)
|
||
)
|
||
decls (MlTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*167 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "11200,20000,22000,21200"
|
||
st "Frame Declarations"
|
||
blo "11200,21000"
|
||
)
|
||
*168 (MLText
|
||
va (VaSet
|
||
)
|
||
xt "11200,21200,11200,21200"
|
||
tm "BdFrameDeclTextMgr"
|
||
)
|
||
]
|
||
)
|
||
style 3
|
||
)
|
||
defaultSaCptPort (CptPort
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "0,0,750,750"
|
||
)
|
||
tg (CPTG
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,750,2800,1950"
|
||
st "Port"
|
||
blo "0,1750"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
lang 2
|
||
decl (Decl
|
||
n "Port"
|
||
t ""
|
||
o 0
|
||
)
|
||
)
|
||
)
|
||
defaultSaCptPortBuffer (CptPort
|
||
ps "OnEdgeStrategy"
|
||
shape (Diamond
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "0,0,750,750"
|
||
)
|
||
tg (CPTG
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,750,2800,1950"
|
||
st "Port"
|
||
blo "0,1750"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
lang 2
|
||
m 3
|
||
decl (Decl
|
||
n "Port"
|
||
t ""
|
||
o 0
|
||
)
|
||
)
|
||
)
|
||
defaultDeclText (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
archDeclarativeBlock (BdArchDeclBlock
|
||
uid 1,0
|
||
stg "BdArchDeclBlockLS"
|
||
declLabel (Text
|
||
uid 2,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,27400,1200"
|
||
st "Declarations"
|
||
blo "20000,1000"
|
||
)
|
||
portLabel (Text
|
||
uid 3,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,1200,23700,2400"
|
||
st "Ports:"
|
||
blo "20000,2200"
|
||
)
|
||
preUserLabel (Text
|
||
uid 4,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,25200,1200"
|
||
st "Pre User:"
|
||
blo "20000,1000"
|
||
)
|
||
preUserText (MLText
|
||
uid 5,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdDeclarativeTextMgr"
|
||
)
|
||
diagSignalLabel (Text
|
||
uid 6,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,12000,29500,13200"
|
||
st "Diagram Signals:"
|
||
blo "20000,13000"
|
||
)
|
||
postUserLabel (Text
|
||
uid 7,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,26400,1200"
|
||
st "Post User:"
|
||
blo "20000,1000"
|
||
)
|
||
postUserText (MLText
|
||
uid 8,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdDeclarativeTextMgr"
|
||
)
|
||
)
|
||
commonDM (CommonDM
|
||
ldm (LogicalDM
|
||
suid 46,0
|
||
usingSuid 1
|
||
emptyRow *169 (LEmptyRow
|
||
)
|
||
uid 246,0
|
||
optionalChildren [
|
||
*170 (RefLabelRowHdr
|
||
)
|
||
*171 (TitleRowHdr
|
||
)
|
||
*172 (FilterRowHdr
|
||
)
|
||
*173 (RefLabelColHdr
|
||
tm "RefLabelColHdrMgr"
|
||
)
|
||
*174 (RowExpandColHdr
|
||
tm "RowExpandColHdrMgr"
|
||
)
|
||
*175 (GroupColHdr
|
||
tm "GroupColHdrMgr"
|
||
)
|
||
*176 (NameColHdr
|
||
tm "BlockDiagramNameColHdrMgr"
|
||
)
|
||
*177 (ModeColHdr
|
||
tm "BlockDiagramModeColHdrMgr"
|
||
)
|
||
*178 (TypeColHdr
|
||
tm "BlockDiagramTypeColHdrMgr"
|
||
)
|
||
*179 (BoundsColHdr
|
||
tm "BlockDiagramBoundsColHdrMgr"
|
||
)
|
||
*180 (InitColHdr
|
||
tm "BlockDiagramInitColHdrMgr"
|
||
)
|
||
*181 (EolColHdr
|
||
tm "BlockDiagramEolColHdrMgr"
|
||
)
|
||
*182 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "Position"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 1
|
||
suid 3,0
|
||
)
|
||
)
|
||
uid 177,0
|
||
)
|
||
*183 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "button"
|
||
t "unsigned"
|
||
b "(3 DOWNTO 0)"
|
||
o 2
|
||
suid 1,0
|
||
)
|
||
)
|
||
uid 179,0
|
||
)
|
||
*184 (LeafLogPort
|
||
port (LogicalPort
|
||
decl (Decl
|
||
n "sensor1"
|
||
t "std_uLogic"
|
||
o 5
|
||
suid 7,0
|
||
)
|
||
)
|
||
uid 185,0
|
||
)
|
||
*185 (LeafLogPort
|
||
port (LogicalPort
|
||
decl (Decl
|
||
n "sensor2"
|
||
t "std_uLogic"
|
||
o 6
|
||
suid 8,0
|
||
)
|
||
)
|
||
uid 187,0
|
||
)
|
||
*186 (LeafLogPort
|
||
port (LogicalPort
|
||
decl (Decl
|
||
n "testMode"
|
||
t "std_uLogic"
|
||
o 7
|
||
suid 10,0
|
||
)
|
||
)
|
||
uid 189,0
|
||
)
|
||
*187 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "Power"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 8
|
||
suid 4,0
|
||
)
|
||
)
|
||
uid 191,0
|
||
)
|
||
*188 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "RaZ"
|
||
t "std_ulogic"
|
||
o 9
|
||
suid 5,0
|
||
)
|
||
)
|
||
uid 193,0
|
||
)
|
||
*189 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "SideL"
|
||
t "std_ulogic"
|
||
o 10
|
||
suid 9,0
|
||
)
|
||
)
|
||
uid 195,0
|
||
)
|
||
*190 (LeafLogPort
|
||
port (LogicalPort
|
||
m 1
|
||
decl (Decl
|
||
n "testOut"
|
||
t "std_uLogic_vector"
|
||
b "(1 TO testLineNb)"
|
||
o 11
|
||
suid 11,0
|
||
)
|
||
)
|
||
uid 197,0
|
||
)
|
||
*191 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "unlock"
|
||
t "std_ulogic"
|
||
o 12
|
||
suid 12,0
|
||
)
|
||
)
|
||
uid 199,0
|
||
)
|
||
*192 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "sideL_acceleration"
|
||
t "std_ulogic"
|
||
o 23
|
||
suid 23,0
|
||
)
|
||
)
|
||
uid 583,0
|
||
)
|
||
*193 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "power_acceleration"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 19
|
||
suid 24,0
|
||
)
|
||
)
|
||
uid 585,0
|
||
)
|
||
*194 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "sideL_cruse"
|
||
t "std_ulogic"
|
||
o 24
|
||
suid 25,0
|
||
)
|
||
)
|
||
uid 587,0
|
||
)
|
||
*195 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "power_cruse"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 20
|
||
suid 26,0
|
||
)
|
||
)
|
||
uid 589,0
|
||
)
|
||
*196 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "power_deceleration"
|
||
t "unsigned"
|
||
b "(7 DOWNTO 0)"
|
||
o 21
|
||
suid 27,0
|
||
)
|
||
)
|
||
uid 591,0
|
||
)
|
||
*197 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "sideL_deceleration"
|
||
t "std_ulogic"
|
||
o 25
|
||
suid 28,0
|
||
)
|
||
)
|
||
uid 593,0
|
||
)
|
||
*198 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "sensor_bus"
|
||
t "unsigned"
|
||
b "(1 DOWNTO 0)"
|
||
o 22
|
||
suid 30,0
|
||
)
|
||
)
|
||
uid 595,0
|
||
)
|
||
*199 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "info_acceleration"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 16
|
||
suid 34,0
|
||
)
|
||
)
|
||
uid 665,0
|
||
)
|
||
*200 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "info_cruse"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 17
|
||
suid 35,0
|
||
)
|
||
)
|
||
uid 667,0
|
||
)
|
||
*201 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "info_deceleration"
|
||
t "unsigned"
|
||
b "(15 DOWNTO 0)"
|
||
o 18
|
||
suid 36,0
|
||
)
|
||
)
|
||
uid 669,0
|
||
)
|
||
*202 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "end_acceleration"
|
||
t "std_ulogic"
|
||
o 13
|
||
suid 39,0
|
||
)
|
||
)
|
||
uid 707,0
|
||
)
|
||
*203 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "end_cruse"
|
||
t "std_ulogic"
|
||
o 14
|
||
suid 40,0
|
||
)
|
||
)
|
||
uid 709,0
|
||
)
|
||
*204 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "end_deceleration"
|
||
t "std_ulogic"
|
||
o 15
|
||
suid 42,0
|
||
)
|
||
)
|
||
uid 711,0
|
||
)
|
||
*205 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "clk"
|
||
t "unsigned"
|
||
o 3
|
||
suid 43,0
|
||
)
|
||
)
|
||
uid 904,0
|
||
)
|
||
*206 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "rst"
|
||
t "unsigned"
|
||
o 4
|
||
suid 44,0
|
||
)
|
||
)
|
||
uid 906,0
|
||
)
|
||
*207 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "skip_acceleration"
|
||
t "unsigned"
|
||
o 26
|
||
suid 46,0
|
||
)
|
||
)
|
||
uid 1165,0
|
||
)
|
||
]
|
||
)
|
||
pdm (PhysicalDM
|
||
displayShortBounds 1
|
||
editShortBounds 1
|
||
uid 259,0
|
||
optionalChildren [
|
||
*208 (Sheet
|
||
sheetRow (SheetRow
|
||
headerVa (MVa
|
||
cellColor "49152,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
cellVa (MVa
|
||
cellColor "65535,65535,65535"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
groupVa (MVa
|
||
cellColor "39936,56832,65280"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
emptyMRCItem *209 (MRCItem
|
||
litem &169
|
||
pos 26
|
||
dimension 20
|
||
)
|
||
uid 261,0
|
||
optionalChildren [
|
||
*210 (MRCItem
|
||
litem &170
|
||
pos 0
|
||
dimension 20
|
||
uid 262,0
|
||
)
|
||
*211 (MRCItem
|
||
litem &171
|
||
pos 1
|
||
dimension 23
|
||
uid 263,0
|
||
)
|
||
*212 (MRCItem
|
||
litem &172
|
||
pos 2
|
||
hidden 1
|
||
dimension 20
|
||
uid 264,0
|
||
)
|
||
*213 (MRCItem
|
||
litem &182
|
||
pos 2
|
||
dimension 20
|
||
uid 178,0
|
||
)
|
||
*214 (MRCItem
|
||
litem &183
|
||
pos 0
|
||
dimension 20
|
||
uid 180,0
|
||
)
|
||
*215 (MRCItem
|
||
litem &184
|
||
pos 6
|
||
dimension 20
|
||
uid 186,0
|
||
)
|
||
*216 (MRCItem
|
||
litem &185
|
||
pos 7
|
||
dimension 20
|
||
uid 188,0
|
||
)
|
||
*217 (MRCItem
|
||
litem &186
|
||
pos 9
|
||
dimension 20
|
||
uid 190,0
|
||
)
|
||
*218 (MRCItem
|
||
litem &187
|
||
pos 3
|
||
dimension 20
|
||
uid 192,0
|
||
)
|
||
*219 (MRCItem
|
||
litem &188
|
||
pos 4
|
||
dimension 20
|
||
uid 194,0
|
||
)
|
||
*220 (MRCItem
|
||
litem &189
|
||
pos 8
|
||
dimension 20
|
||
uid 196,0
|
||
)
|
||
*221 (MRCItem
|
||
litem &190
|
||
pos 10
|
||
dimension 20
|
||
uid 198,0
|
||
)
|
||
*222 (MRCItem
|
||
litem &191
|
||
pos 11
|
||
dimension 20
|
||
uid 200,0
|
||
)
|
||
*223 (MRCItem
|
||
litem &192
|
||
pos 12
|
||
dimension 20
|
||
uid 584,0
|
||
)
|
||
*224 (MRCItem
|
||
litem &193
|
||
pos 13
|
||
dimension 20
|
||
uid 586,0
|
||
)
|
||
*225 (MRCItem
|
||
litem &194
|
||
pos 14
|
||
dimension 20
|
||
uid 588,0
|
||
)
|
||
*226 (MRCItem
|
||
litem &195
|
||
pos 15
|
||
dimension 20
|
||
uid 590,0
|
||
)
|
||
*227 (MRCItem
|
||
litem &196
|
||
pos 16
|
||
dimension 20
|
||
uid 592,0
|
||
)
|
||
*228 (MRCItem
|
||
litem &197
|
||
pos 17
|
||
dimension 20
|
||
uid 594,0
|
||
)
|
||
*229 (MRCItem
|
||
litem &198
|
||
pos 18
|
||
dimension 20
|
||
uid 596,0
|
||
)
|
||
*230 (MRCItem
|
||
litem &199
|
||
pos 19
|
||
dimension 20
|
||
uid 666,0
|
||
)
|
||
*231 (MRCItem
|
||
litem &200
|
||
pos 20
|
||
dimension 20
|
||
uid 668,0
|
||
)
|
||
*232 (MRCItem
|
||
litem &201
|
||
pos 21
|
||
dimension 20
|
||
uid 670,0
|
||
)
|
||
*233 (MRCItem
|
||
litem &202
|
||
pos 22
|
||
dimension 20
|
||
uid 708,0
|
||
)
|
||
*234 (MRCItem
|
||
litem &203
|
||
pos 23
|
||
dimension 20
|
||
uid 710,0
|
||
)
|
||
*235 (MRCItem
|
||
litem &204
|
||
pos 24
|
||
dimension 20
|
||
uid 712,0
|
||
)
|
||
*236 (MRCItem
|
||
litem &205
|
||
pos 1
|
||
dimension 20
|
||
uid 905,0
|
||
)
|
||
*237 (MRCItem
|
||
litem &206
|
||
pos 5
|
||
dimension 20
|
||
uid 907,0
|
||
)
|
||
*238 (MRCItem
|
||
litem &207
|
||
pos 25
|
||
dimension 20
|
||
uid 1166,0
|
||
)
|
||
]
|
||
)
|
||
sheetCol (SheetCol
|
||
propVa (MVa
|
||
cellColor "0,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
textAngle 90
|
||
)
|
||
uid 265,0
|
||
optionalChildren [
|
||
*239 (MRCItem
|
||
litem &173
|
||
pos 0
|
||
dimension 20
|
||
uid 266,0
|
||
)
|
||
*240 (MRCItem
|
||
litem &175
|
||
pos 1
|
||
dimension 50
|
||
uid 267,0
|
||
)
|
||
*241 (MRCItem
|
||
litem &176
|
||
pos 2
|
||
dimension 100
|
||
uid 268,0
|
||
)
|
||
*242 (MRCItem
|
||
litem &177
|
||
pos 3
|
||
dimension 50
|
||
uid 269,0
|
||
)
|
||
*243 (MRCItem
|
||
litem &178
|
||
pos 4
|
||
dimension 100
|
||
uid 270,0
|
||
)
|
||
*244 (MRCItem
|
||
litem &179
|
||
pos 5
|
||
dimension 100
|
||
uid 271,0
|
||
)
|
||
*245 (MRCItem
|
||
litem &180
|
||
pos 6
|
||
dimension 50
|
||
uid 272,0
|
||
)
|
||
*246 (MRCItem
|
||
litem &181
|
||
pos 7
|
||
dimension 80
|
||
uid 273,0
|
||
)
|
||
]
|
||
)
|
||
fixedCol 4
|
||
fixedRow 2
|
||
name "Ports"
|
||
uid 260,0
|
||
vaOverrides [
|
||
]
|
||
)
|
||
]
|
||
)
|
||
uid 245,0
|
||
)
|
||
genericsCommonDM (CommonDM
|
||
ldm (LogicalDM
|
||
emptyRow *247 (LEmptyRow
|
||
)
|
||
uid 275,0
|
||
optionalChildren [
|
||
*248 (RefLabelRowHdr
|
||
)
|
||
*249 (TitleRowHdr
|
||
)
|
||
*250 (FilterRowHdr
|
||
)
|
||
*251 (RefLabelColHdr
|
||
tm "RefLabelColHdrMgr"
|
||
)
|
||
*252 (RowExpandColHdr
|
||
tm "RowExpandColHdrMgr"
|
||
)
|
||
*253 (GroupColHdr
|
||
tm "GroupColHdrMgr"
|
||
)
|
||
*254 (NameColHdr
|
||
tm "GenericNameColHdrMgr"
|
||
)
|
||
*255 (TypeColHdr
|
||
tm "GenericTypeColHdrMgr"
|
||
)
|
||
*256 (InitColHdr
|
||
tm "GenericValueColHdrMgr"
|
||
)
|
||
*257 (PragmaColHdr
|
||
tm "GenericPragmaColHdrMgr"
|
||
)
|
||
*258 (EolColHdr
|
||
tm "GenericEolColHdrMgr"
|
||
)
|
||
]
|
||
)
|
||
pdm (PhysicalDM
|
||
displayShortBounds 1
|
||
editShortBounds 1
|
||
uid 287,0
|
||
optionalChildren [
|
||
*259 (Sheet
|
||
sheetRow (SheetRow
|
||
headerVa (MVa
|
||
cellColor "49152,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
cellVa (MVa
|
||
cellColor "65535,65535,65535"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
groupVa (MVa
|
||
cellColor "39936,56832,65280"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
emptyMRCItem *260 (MRCItem
|
||
litem &247
|
||
pos 0
|
||
dimension 20
|
||
)
|
||
uid 289,0
|
||
optionalChildren [
|
||
*261 (MRCItem
|
||
litem &248
|
||
pos 0
|
||
dimension 20
|
||
uid 290,0
|
||
)
|
||
*262 (MRCItem
|
||
litem &249
|
||
pos 1
|
||
dimension 23
|
||
uid 291,0
|
||
)
|
||
*263 (MRCItem
|
||
litem &250
|
||
pos 2
|
||
hidden 1
|
||
dimension 20
|
||
uid 292,0
|
||
)
|
||
]
|
||
)
|
||
sheetCol (SheetCol
|
||
propVa (MVa
|
||
cellColor "0,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
textAngle 90
|
||
)
|
||
uid 293,0
|
||
optionalChildren [
|
||
*264 (MRCItem
|
||
litem &251
|
||
pos 0
|
||
dimension 20
|
||
uid 294,0
|
||
)
|
||
*265 (MRCItem
|
||
litem &253
|
||
pos 1
|
||
dimension 50
|
||
uid 295,0
|
||
)
|
||
*266 (MRCItem
|
||
litem &254
|
||
pos 2
|
||
dimension 100
|
||
uid 296,0
|
||
)
|
||
*267 (MRCItem
|
||
litem &255
|
||
pos 3
|
||
dimension 100
|
||
uid 297,0
|
||
)
|
||
*268 (MRCItem
|
||
litem &256
|
||
pos 4
|
||
dimension 50
|
||
uid 298,0
|
||
)
|
||
*269 (MRCItem
|
||
litem &257
|
||
pos 5
|
||
dimension 50
|
||
uid 299,0
|
||
)
|
||
*270 (MRCItem
|
||
litem &258
|
||
pos 6
|
||
dimension 80
|
||
uid 300,0
|
||
)
|
||
]
|
||
)
|
||
fixedCol 3
|
||
fixedRow 2
|
||
name "Ports"
|
||
uid 288,0
|
||
vaOverrides [
|
||
]
|
||
)
|
||
]
|
||
)
|
||
uid 274,0
|
||
type 1
|
||
)
|
||
activeModelName "BlockDiag"
|
||
)
|