mirror of
https://github.com/Klagarge/Cursor.git
synced 2025-03-13 06:54:32 +00:00
4270 lines
50 KiB
Plaintext
4270 lines
50 KiB
Plaintext
DocumentHdrVersion "1.1"
|
||
Header (DocumentHdr
|
||
version 2
|
||
dialect 11
|
||
dmPackageRefs [
|
||
(DmPackageRef
|
||
library "ieee"
|
||
unitName "std_logic_1164"
|
||
)
|
||
(DmPackageRef
|
||
library "ieee"
|
||
unitName "numeric_std"
|
||
)
|
||
(DmPackageRef
|
||
library "gates"
|
||
unitName "gates"
|
||
)
|
||
]
|
||
instances [
|
||
(Instance
|
||
name "U_3"
|
||
duLibraryName "Cursor"
|
||
duName "Motor_side"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 376,0
|
||
)
|
||
(Instance
|
||
name "U_0"
|
||
duLibraryName "Cursor"
|
||
duName "Counter_Controller"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 426,0
|
||
)
|
||
(Instance
|
||
name "U_4"
|
||
duLibraryName "Cursor"
|
||
duName "PWM"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 548,0
|
||
)
|
||
(Instance
|
||
name "U_1"
|
||
duLibraryName "sequential"
|
||
duName "counterEnableResetSync"
|
||
elements [
|
||
(GiElement
|
||
name "bitNb"
|
||
type "positive"
|
||
value "8"
|
||
)
|
||
(GiElement
|
||
name "delay"
|
||
type "time"
|
||
value "gateDelay"
|
||
)
|
||
]
|
||
mwi 0
|
||
uid 744,0
|
||
)
|
||
(Instance
|
||
name "U_2"
|
||
duLibraryName "gates"
|
||
duName "logic1"
|
||
elements [
|
||
]
|
||
mwi 0
|
||
uid 840,0
|
||
)
|
||
]
|
||
libraryRefs [
|
||
"ieee"
|
||
"gates"
|
||
]
|
||
)
|
||
version "32.1"
|
||
appVersion "2019.2 (Build 5)"
|
||
noEmbeddedEditors 1
|
||
model (BlockDiag
|
||
VExpander (VariableExpander
|
||
vvMap [
|
||
(vvPair
|
||
variable "HDLDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl"
|
||
)
|
||
(vvPair
|
||
variable "HDSDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds"
|
||
)
|
||
(vvPair
|
||
variable "SideDataDesignDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.info"
|
||
)
|
||
(vvPair
|
||
variable "SideDataUserDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.user"
|
||
)
|
||
(vvPair
|
||
variable "SourceDir"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds"
|
||
)
|
||
(vvPair
|
||
variable "appl"
|
||
value "HDL Designer"
|
||
)
|
||
(vvPair
|
||
variable "arch_name"
|
||
value "drivert"
|
||
)
|
||
(vvPair
|
||
variable "concat_file"
|
||
value "concatenated"
|
||
)
|
||
(vvPair
|
||
variable "config"
|
||
value "%(unit)_%(view)_config"
|
||
)
|
||
(vvPair
|
||
variable "d"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver"
|
||
)
|
||
(vvPair
|
||
variable "d_logical"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver"
|
||
)
|
||
(vvPair
|
||
variable "date"
|
||
value "17.12.2021"
|
||
)
|
||
(vvPair
|
||
variable "day"
|
||
value "ven."
|
||
)
|
||
(vvPair
|
||
variable "day_long"
|
||
value "vendredi"
|
||
)
|
||
(vvPair
|
||
variable "dd"
|
||
value "17"
|
||
)
|
||
(vvPair
|
||
variable "entity_name"
|
||
value "Driver"
|
||
)
|
||
(vvPair
|
||
variable "ext"
|
||
value "<TBD>"
|
||
)
|
||
(vvPair
|
||
variable "f"
|
||
value "drivert.bd"
|
||
)
|
||
(vvPair
|
||
variable "f_logical"
|
||
value "drivert.bd"
|
||
)
|
||
(vvPair
|
||
variable "f_noext"
|
||
value "drivert"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_author"
|
||
value "Simon"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_date"
|
||
value "17.12.2021"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_group"
|
||
value "UNKNOWN"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_host"
|
||
value "PC-SDM"
|
||
)
|
||
(vvPair
|
||
variable "graphical_source_time"
|
||
value "09:37:40"
|
||
)
|
||
(vvPair
|
||
variable "group"
|
||
value "UNKNOWN"
|
||
)
|
||
(vvPair
|
||
variable "host"
|
||
value "PC-SDM"
|
||
)
|
||
(vvPair
|
||
variable "language"
|
||
value "VHDL"
|
||
)
|
||
(vvPair
|
||
variable "library"
|
||
value "Cursor"
|
||
)
|
||
(vvPair
|
||
variable "library_downstream_ModelSimCompiler"
|
||
value "$SCRATCH_DIR/Cursor/work"
|
||
)
|
||
(vvPair
|
||
variable "mm"
|
||
value "12"
|
||
)
|
||
(vvPair
|
||
variable "module_name"
|
||
value "Driver"
|
||
)
|
||
(vvPair
|
||
variable "month"
|
||
value "d<>c."
|
||
)
|
||
(vvPair
|
||
variable "month_long"
|
||
value "d<>cembre"
|
||
)
|
||
(vvPair
|
||
variable "p"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd"
|
||
)
|
||
(vvPair
|
||
variable "p_logical"
|
||
value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\drivert.bd"
|
||
)
|
||
(vvPair
|
||
variable "package_name"
|
||
value "<Undefined Variable>"
|
||
)
|
||
(vvPair
|
||
variable "project_name"
|
||
value "hds"
|
||
)
|
||
(vvPair
|
||
variable "series"
|
||
value "HDL Designer Series"
|
||
)
|
||
(vvPair
|
||
variable "this_ext"
|
||
value "bd"
|
||
)
|
||
(vvPair
|
||
variable "this_file"
|
||
value "drivert"
|
||
)
|
||
(vvPair
|
||
variable "this_file_logical"
|
||
value "drivert"
|
||
)
|
||
(vvPair
|
||
variable "time"
|
||
value "09:37:40"
|
||
)
|
||
(vvPair
|
||
variable "unit"
|
||
value "Driver"
|
||
)
|
||
(vvPair
|
||
variable "user"
|
||
value "Simon"
|
||
)
|
||
(vvPair
|
||
variable "version"
|
||
value "2019.2 (Build 5)"
|
||
)
|
||
(vvPair
|
||
variable "view"
|
||
value "drivert"
|
||
)
|
||
(vvPair
|
||
variable "year"
|
||
value "2021"
|
||
)
|
||
(vvPair
|
||
variable "yy"
|
||
value "21"
|
||
)
|
||
]
|
||
)
|
||
LanguageMgr "Vhdl2008LangMgr"
|
||
uid 164,0
|
||
optionalChildren [
|
||
*1 (PortIoIn
|
||
uid 9,0
|
||
shape (CompositeShape
|
||
uid 10,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 11,0
|
||
sl 0
|
||
ro 270
|
||
xt "3000,36625,4500,37375"
|
||
)
|
||
(Line
|
||
uid 12,0
|
||
sl 0
|
||
ro 270
|
||
xt "4500,37000,5000,37000"
|
||
pts [
|
||
"4500,37000"
|
||
"5000,37000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 13,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 14,0
|
||
va (VaSet
|
||
)
|
||
xt "-1400,36400,2000,37600"
|
||
st "clock"
|
||
ju 2
|
||
blo "2000,37400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*2 (Net
|
||
uid 21,0
|
||
lang 11
|
||
decl (Decl
|
||
n "clock"
|
||
t "std_ulogic"
|
||
o 3
|
||
suid 1,0
|
||
)
|
||
declText (MLText
|
||
uid 22,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,4000,33500,4800"
|
||
st "clock : std_ulogic"
|
||
)
|
||
)
|
||
*3 (PortIoOut
|
||
uid 23,0
|
||
shape (CompositeShape
|
||
uid 24,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 25,0
|
||
sl 0
|
||
ro 270
|
||
xt "97500,20625,99000,21375"
|
||
)
|
||
(Line
|
||
uid 26,0
|
||
sl 0
|
||
ro 270
|
||
xt "97000,21000,97500,21000"
|
||
pts [
|
||
"97000,21000"
|
||
"97500,21000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 27,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 28,0
|
||
va (VaSet
|
||
)
|
||
xt "100000,20400,105100,21600"
|
||
st "motorOn"
|
||
blo "100000,21400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*4 (Net
|
||
uid 35,0
|
||
lang 11
|
||
decl (Decl
|
||
n "motorOn"
|
||
t "std_uLogic"
|
||
o 4
|
||
suid 2,0
|
||
)
|
||
declText (MLText
|
||
uid 36,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,5600,33500,6400"
|
||
st "motorOn : std_uLogic"
|
||
)
|
||
)
|
||
*5 (PortIoIn
|
||
uid 37,0
|
||
shape (CompositeShape
|
||
uid 38,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 39,0
|
||
sl 0
|
||
ro 270
|
||
xt "26000,6625,27500,7375"
|
||
)
|
||
(Line
|
||
uid 40,0
|
||
sl 0
|
||
ro 270
|
||
xt "27500,7000,28000,7000"
|
||
pts [
|
||
"27500,7000"
|
||
"28000,7000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 41,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 42,0
|
||
va (VaSet
|
||
)
|
||
xt "21300,6400,25000,7600"
|
||
st "Power"
|
||
ju 2
|
||
blo "25000,7400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*6 (Net
|
||
uid 49,0
|
||
lang 11
|
||
decl (Decl
|
||
n "Power"
|
||
t "std_ulogic_vector"
|
||
b "(7 DOWNTO 0)"
|
||
o 1
|
||
suid 3,0
|
||
)
|
||
declText (MLText
|
||
uid 50,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,2400,38500,3200"
|
||
st "Power : unsigned(7 DOWNTO 0)"
|
||
)
|
||
)
|
||
*7 (PortIoIn
|
||
uid 51,0
|
||
shape (CompositeShape
|
||
uid 52,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 53,0
|
||
sl 0
|
||
ro 270
|
||
xt "3000,38625,4500,39375"
|
||
)
|
||
(Line
|
||
uid 54,0
|
||
sl 0
|
||
ro 270
|
||
xt "4500,39000,5000,39000"
|
||
pts [
|
||
"4500,39000"
|
||
"5000,39000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 55,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 56,0
|
||
va (VaSet
|
||
)
|
||
xt "-1300,38400,2000,39600"
|
||
st "reset"
|
||
ju 2
|
||
blo "2000,39400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*8 (Net
|
||
uid 63,0
|
||
lang 11
|
||
decl (Decl
|
||
n "reset"
|
||
t "std_ulogic"
|
||
o 5
|
||
suid 4,0
|
||
)
|
||
declText (MLText
|
||
uid 64,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,4800,33500,5600"
|
||
st "reset : std_ulogic"
|
||
)
|
||
)
|
||
*9 (PortIoOut
|
||
uid 65,0
|
||
shape (CompositeShape
|
||
uid 66,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 67,0
|
||
sl 0
|
||
ro 270
|
||
xt "93500,36625,95000,37375"
|
||
)
|
||
(Line
|
||
uid 68,0
|
||
sl 0
|
||
ro 270
|
||
xt "93000,37000,93500,37000"
|
||
pts [
|
||
"93000,37000"
|
||
"93500,37000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 69,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 70,0
|
||
va (VaSet
|
||
)
|
||
xt "96000,36400,99500,37600"
|
||
st "side1"
|
||
blo "96000,37400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*10 (Net
|
||
uid 77,0
|
||
lang 11
|
||
decl (Decl
|
||
n "side1"
|
||
t "std_uLogic"
|
||
o 6
|
||
suid 5,0
|
||
)
|
||
declText (MLText
|
||
uid 78,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,6400,33500,7200"
|
||
st "side1 : std_uLogic"
|
||
)
|
||
)
|
||
*11 (PortIoOut
|
||
uid 79,0
|
||
shape (CompositeShape
|
||
uid 80,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 81,0
|
||
sl 0
|
||
ro 270
|
||
xt "93500,40625,95000,41375"
|
||
)
|
||
(Line
|
||
uid 82,0
|
||
sl 0
|
||
ro 270
|
||
xt "93000,41000,93500,41000"
|
||
pts [
|
||
"93000,41000"
|
||
"93500,41000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 83,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 84,0
|
||
va (VaSet
|
||
)
|
||
xt "96000,40400,99500,41600"
|
||
st "side2"
|
||
blo "96000,41400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*12 (Net
|
||
uid 91,0
|
||
lang 11
|
||
decl (Decl
|
||
n "side2"
|
||
t "std_uLogic"
|
||
o 7
|
||
suid 6,0
|
||
)
|
||
declText (MLText
|
||
uid 92,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,7200,33500,8000"
|
||
st "side2 : std_uLogic"
|
||
)
|
||
)
|
||
*13 (PortIoIn
|
||
uid 93,0
|
||
shape (CompositeShape
|
||
uid 94,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
uid 95,0
|
||
sl 0
|
||
ro 270
|
||
xt "54000,37625,55500,38375"
|
||
)
|
||
(Line
|
||
uid 96,0
|
||
sl 0
|
||
ro 270
|
||
xt "55500,38000,56000,38000"
|
||
pts [
|
||
"55500,38000"
|
||
"56000,38000"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
uid 97,0
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 98,0
|
||
va (VaSet
|
||
)
|
||
xt "49500,37400,53000,38600"
|
||
st "SideL"
|
||
ju 2
|
||
blo "53000,38400"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
*14 (Net
|
||
uid 105,0
|
||
lang 11
|
||
decl (Decl
|
||
n "SideL"
|
||
t "std_ulogic"
|
||
o 2
|
||
suid 7,0
|
||
)
|
||
declText (MLText
|
||
uid 106,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "22000,3200,33500,4000"
|
||
st "SideL : std_ulogic"
|
||
)
|
||
)
|
||
*15 (Grouping
|
||
uid 121,0
|
||
optionalChildren [
|
||
*16 (CommentText
|
||
uid 123,0
|
||
shape (Rectangle
|
||
uid 124,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "29000,48000,46000,49000"
|
||
)
|
||
oxt "18000,70000,35000,71000"
|
||
text (MLText
|
||
uid 125,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "29200,48000,39000,49000"
|
||
st "
|
||
by %user on %dd %month %year
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*17 (CommentText
|
||
uid 126,0
|
||
shape (Rectangle
|
||
uid 127,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "46000,44000,50000,45000"
|
||
)
|
||
oxt "35000,66000,39000,67000"
|
||
text (MLText
|
||
uid 128,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "46200,44000,49200,45000"
|
||
st "
|
||
Project:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*18 (CommentText
|
||
uid 129,0
|
||
shape (Rectangle
|
||
uid 130,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "29000,46000,46000,47000"
|
||
)
|
||
oxt "18000,68000,35000,69000"
|
||
text (MLText
|
||
uid 131,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "29200,46000,39200,47000"
|
||
st "
|
||
<enter diagram title here>
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*19 (CommentText
|
||
uid 132,0
|
||
shape (Rectangle
|
||
uid 133,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "25000,46000,29000,47000"
|
||
)
|
||
oxt "14000,68000,18000,69000"
|
||
text (MLText
|
||
uid 134,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "25200,46000,27300,47000"
|
||
st "
|
||
Title:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*20 (CommentText
|
||
uid 135,0
|
||
shape (Rectangle
|
||
uid 136,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "46000,45000,66000,49000"
|
||
)
|
||
oxt "35000,67000,55000,71000"
|
||
text (MLText
|
||
uid 137,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "46200,45200,55600,46200"
|
||
st "
|
||
<enter comments here>
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 4000
|
||
visibleWidth 20000
|
||
)
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*21 (CommentText
|
||
uid 138,0
|
||
shape (Rectangle
|
||
uid 139,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "50000,44000,66000,45000"
|
||
)
|
||
oxt "39000,66000,55000,67000"
|
||
text (MLText
|
||
uid 140,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "50200,44000,51800,45000"
|
||
st "
|
||
%project_name
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 16000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*22 (CommentText
|
||
uid 141,0
|
||
shape (Rectangle
|
||
uid 142,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "25000,44000,46000,46000"
|
||
)
|
||
oxt "14000,66000,35000,68000"
|
||
text (MLText
|
||
uid 143,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "32768,0,0"
|
||
)
|
||
xt "30350,44400,40650,45600"
|
||
st "
|
||
<company name>
|
||
"
|
||
ju 0
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 2000
|
||
visibleWidth 21000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*23 (CommentText
|
||
uid 144,0
|
||
shape (Rectangle
|
||
uid 145,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "25000,47000,29000,48000"
|
||
)
|
||
oxt "14000,69000,18000,70000"
|
||
text (MLText
|
||
uid 146,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "25200,47000,27300,48000"
|
||
st "
|
||
Path:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*24 (CommentText
|
||
uid 147,0
|
||
shape (Rectangle
|
||
uid 148,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "25000,48000,29000,49000"
|
||
)
|
||
oxt "14000,70000,18000,71000"
|
||
text (MLText
|
||
uid 149,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "25200,48000,27900,49000"
|
||
st "
|
||
Edited:
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 4000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
*25 (CommentText
|
||
uid 150,0
|
||
shape (Rectangle
|
||
uid 151,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65280,65280,46080"
|
||
)
|
||
xt "29000,47000,46000,48000"
|
||
)
|
||
oxt "18000,69000,35000,70000"
|
||
text (MLText
|
||
uid 152,0
|
||
va (VaSet
|
||
isHidden 1
|
||
fg "0,0,32768"
|
||
bg "0,0,32768"
|
||
font "Arial,8,0"
|
||
)
|
||
xt "29200,47000,37600,48000"
|
||
st "
|
||
%library/%unit/%view
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 1000
|
||
visibleWidth 17000
|
||
)
|
||
position 1
|
||
ignorePrefs 1
|
||
titleBlock 1
|
||
)
|
||
]
|
||
shape (GroupingShape
|
||
uid 122,0
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "65535,65535,65535"
|
||
lineStyle 2
|
||
lineWidth 2
|
||
)
|
||
xt "25000,44000,66000,49000"
|
||
)
|
||
oxt "14000,66000,55000,71000"
|
||
)
|
||
*26 (Blk
|
||
uid 376,0
|
||
shape (Rectangle
|
||
uid 377,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "70000,34000,78000,44000"
|
||
)
|
||
oxt "0,0,8000,10000"
|
||
ttg (MlTextGroup
|
||
uid 378,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*27 (Text
|
||
uid 379,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "71600,37200,75300,38400"
|
||
st "Cursor"
|
||
blo "71600,38200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*28 (Text
|
||
uid 380,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "71600,38400,78300,39600"
|
||
st "Motor_side"
|
||
blo "71600,39400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*29 (Text
|
||
uid 381,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "71600,39600,74100,40800"
|
||
st "U_3"
|
||
blo "71600,40600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 382,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 383,0
|
||
text (MLText
|
||
uid 384,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "71600,47200,71600,47200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 385,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "70250,42250,71750,43750"
|
||
iconName "StateMachineViewIcon.png"
|
||
iconMaskName "StateMachineViewIcon.msk"
|
||
ftype 3
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*30 (Net
|
||
uid 414,0
|
||
lang 11
|
||
decl (Decl
|
||
n "PWM_out"
|
||
t "std_ulogic"
|
||
o 12
|
||
suid 13,0
|
||
)
|
||
declText (MLText
|
||
uid 415,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*31 (Blk
|
||
uid 426,0
|
||
shape (Rectangle
|
||
uid 427,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "24000,12000,37000,22000"
|
||
)
|
||
oxt "0,0,8000,10000"
|
||
ttg (MlTextGroup
|
||
uid 428,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*32 (Text
|
||
uid 429,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,15200,29300,16400"
|
||
st "Cursor"
|
||
blo "25600,16200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*33 (Text
|
||
uid 430,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,16400,36200,17600"
|
||
st "Counter_Controller"
|
||
blo "25600,17400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*34 (Text
|
||
uid 431,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "25600,17600,28100,18800"
|
||
st "U_0"
|
||
blo "25600,18600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 432,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 433,0
|
||
text (MLText
|
||
uid 434,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "25600,25200,25600,25200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 435,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "24250,20250,25750,21750"
|
||
iconName "StateMachineViewIcon.png"
|
||
iconMaskName "StateMachineViewIcon.msk"
|
||
ftype 3
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*35 (Blk
|
||
uid 548,0
|
||
shape (Rectangle
|
||
uid 549,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "48000,14000,56000,24000"
|
||
)
|
||
oxt "0,0,8000,10000"
|
||
ttg (MlTextGroup
|
||
uid 550,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*36 (Text
|
||
uid 551,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "49600,17200,53300,18400"
|
||
st "Cursor"
|
||
blo "49600,18200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*37 (Text
|
||
uid 552,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "49600,18400,52800,19600"
|
||
st "PWM"
|
||
blo "49600,19400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*38 (Text
|
||
uid 553,0
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "49600,19600,52100,20800"
|
||
st "U_4"
|
||
blo "49600,20600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 554,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 555,0
|
||
text (MLText
|
||
uid 556,0
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "49600,27200,49600,27200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 557,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "48250,22250,49750,23750"
|
||
iconName "StateMachineViewIcon.png"
|
||
iconMaskName "StateMachineViewIcon.msk"
|
||
ftype 3
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
*39 (Net
|
||
uid 574,0
|
||
decl (Decl
|
||
n "countOut"
|
||
t "std_ulogic_vector"
|
||
b "(7 DOWNTO 0)"
|
||
o 12
|
||
suid 19,0
|
||
)
|
||
declText (MLText
|
||
uid 575,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*40 (SaComponent
|
||
uid 744,0
|
||
optionalChildren [
|
||
*41 (CptPort
|
||
uid 724,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 725,0
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "21250,36625,22000,37375"
|
||
)
|
||
tg (CPTG
|
||
uid 726,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
uid 727,0
|
||
va (VaSet
|
||
font "Verdana,12,0"
|
||
)
|
||
xt "23000,36300,26800,37700"
|
||
st "clock"
|
||
blo "23000,37500"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
decl (Decl
|
||
n "clock"
|
||
t "std_ulogic"
|
||
o 1
|
||
suid 1,0
|
||
)
|
||
)
|
||
)
|
||
*42 (CptPort
|
||
uid 728,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 729,0
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "38000,32625,38750,33375"
|
||
)
|
||
tg (CPTG
|
||
uid 730,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "RightVerticalLayoutStrategy"
|
||
f (Text
|
||
uid 731,0
|
||
va (VaSet
|
||
font "Verdana,12,0"
|
||
)
|
||
xt "30400,32300,37000,33700"
|
||
st "countOut"
|
||
ju 2
|
||
blo "37000,33500"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
m 1
|
||
decl (Decl
|
||
n "countOut"
|
||
t "unsigned"
|
||
b "(bitNb-1 DOWNTO 0)"
|
||
o 2
|
||
suid 2,0
|
||
)
|
||
)
|
||
)
|
||
*43 (CptPort
|
||
uid 732,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 733,0
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "21250,38625,22000,39375"
|
||
)
|
||
tg (CPTG
|
||
uid 734,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
uid 735,0
|
||
va (VaSet
|
||
font "Verdana,12,0"
|
||
)
|
||
xt "23000,38300,27100,39700"
|
||
st "reset"
|
||
blo "23000,39500"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
decl (Decl
|
||
n "reset"
|
||
t "std_ulogic"
|
||
o 3
|
||
suid 3,0
|
||
)
|
||
)
|
||
)
|
||
*44 (CptPort
|
||
uid 736,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 737,0
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "21250,32625,22000,33375"
|
||
)
|
||
tg (CPTG
|
||
uid 738,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
uid 739,0
|
||
va (VaSet
|
||
font "Verdana,12,0"
|
||
)
|
||
xt "23000,32300,28100,33700"
|
||
st "enable"
|
||
blo "23000,33500"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
decl (Decl
|
||
n "enable"
|
||
t "std_ulogic"
|
||
o 4
|
||
suid 4,0
|
||
)
|
||
)
|
||
)
|
||
*45 (CptPort
|
||
uid 740,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 741,0
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "21250,30625,22000,31375"
|
||
)
|
||
tg (CPTG
|
||
uid 742,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
uid 743,0
|
||
va (VaSet
|
||
font "Verdana,12,0"
|
||
)
|
||
xt "23000,30300,30000,31700"
|
||
st "resetSync"
|
||
blo "23000,31500"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
decl (Decl
|
||
n "resetSync"
|
||
t "std_ulogic"
|
||
o 5
|
||
suid 2005,0
|
||
)
|
||
)
|
||
)
|
||
]
|
||
shape (Rectangle
|
||
uid 745,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "22000,27000,38000,41000"
|
||
)
|
||
oxt "30000,9000,46000,23000"
|
||
ttg (MlTextGroup
|
||
uid 746,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*46 (Text
|
||
uid 747,0
|
||
va (VaSet
|
||
)
|
||
xt "22300,41400,28900,42600"
|
||
st "sequential"
|
||
blo "22300,42400"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*47 (Text
|
||
uid 748,0
|
||
va (VaSet
|
||
)
|
||
xt "22300,42600,37000,43800"
|
||
st "counterEnableResetSync"
|
||
blo "22300,43600"
|
||
tm "CptNameMgr"
|
||
)
|
||
*48 (Text
|
||
uid 749,0
|
||
va (VaSet
|
||
)
|
||
xt "22300,43800,25100,45000"
|
||
st "U_1"
|
||
blo "22300,44800"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 750,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 751,0
|
||
text (MLText
|
||
uid 752,0
|
||
va (VaSet
|
||
)
|
||
xt "22000,45400,39800,47800"
|
||
st "bitNb = 8 ( positive )
|
||
delay = gateDelay ( time ) "
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
(GiElement
|
||
name "bitNb"
|
||
type "positive"
|
||
value "8"
|
||
)
|
||
(GiElement
|
||
name "delay"
|
||
type "time"
|
||
value "gateDelay"
|
||
)
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 753,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "22250,39250,23750,40750"
|
||
iconName "VhdlFileViewIcon.png"
|
||
iconMaskName "VhdlFileViewIcon.msk"
|
||
ftype 10
|
||
)
|
||
viewiconposition 0
|
||
portVis (PortSigDisplay
|
||
sTC 0
|
||
sT 1
|
||
)
|
||
archFileType "UNKNOWN"
|
||
)
|
||
*49 (Net
|
||
uid 764,0
|
||
decl (Decl
|
||
n "enable"
|
||
t "std_ulogic"
|
||
o 10
|
||
suid 25,0
|
||
)
|
||
declText (MLText
|
||
uid 765,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*50 (Net
|
||
uid 772,0
|
||
decl (Decl
|
||
n "resetSync"
|
||
t "std_ulogic"
|
||
o 11
|
||
suid 26,0
|
||
)
|
||
declText (MLText
|
||
uid 773,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
)
|
||
*51 (SaComponent
|
||
uid 840,0
|
||
optionalChildren [
|
||
*52 (CptPort
|
||
uid 836,0
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
uid 837,0
|
||
ro 180
|
||
va (VaSet
|
||
vasetType 1
|
||
isHidden 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "82625,13000,83375,13750"
|
||
)
|
||
tg (CPTG
|
||
uid 838,0
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
uid 839,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "84000,12000,88400,13200"
|
||
st "logic_1"
|
||
blo "84000,13000"
|
||
)
|
||
s (Text
|
||
uid 850,0
|
||
va (VaSet
|
||
)
|
||
xt "84000,13200,84000,13200"
|
||
blo "84000,13200"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
m 1
|
||
decl (Decl
|
||
n "logic_1"
|
||
t "std_uLogic"
|
||
o 1
|
||
suid 2,0
|
||
)
|
||
)
|
||
)
|
||
]
|
||
shape (Pu
|
||
uid 841,0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
bg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "80000,7000,85000,13000"
|
||
)
|
||
showPorts 0
|
||
oxt "34000,15000,39000,21000"
|
||
ttg (MlTextGroup
|
||
uid 842,0
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*53 (Text
|
||
uid 843,0
|
||
va (VaSet
|
||
font "Verdana,8,1"
|
||
)
|
||
xt "79910,10700,83010,11700"
|
||
st "gates"
|
||
blo "79910,11500"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*54 (Text
|
||
uid 844,0
|
||
va (VaSet
|
||
font "Verdana,8,1"
|
||
)
|
||
xt "79910,11700,83410,12700"
|
||
st "logic1"
|
||
blo "79910,12500"
|
||
tm "CptNameMgr"
|
||
)
|
||
*55 (Text
|
||
uid 845,0
|
||
va (VaSet
|
||
font "Verdana,8,1"
|
||
)
|
||
xt "79910,12700,82410,13700"
|
||
st "U_2"
|
||
blo "79910,13500"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
uid 846,0
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
uid 847,0
|
||
text (MLText
|
||
uid 848,0
|
||
va (VaSet
|
||
font "Verdana,8,0"
|
||
)
|
||
xt "80000,15600,80000,15600"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
uid 849,0
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "80250,11250,81750,12750"
|
||
iconName "VhdlFileViewIcon.png"
|
||
iconMaskName "VhdlFileViewIcon.msk"
|
||
ftype 10
|
||
)
|
||
viewiconposition 0
|
||
portVis (PortSigDisplay
|
||
disp 1
|
||
sN 0
|
||
sTC 0
|
||
sT 1
|
||
)
|
||
archFileType "UNKNOWN"
|
||
)
|
||
*56 (Wire
|
||
uid 15,0
|
||
optionalChildren [
|
||
*57 (BdJunction
|
||
uid 564,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 565,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "5600,36600,6400,37400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 16,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "5000,37000,21250,37000"
|
||
pts [
|
||
"5000,37000"
|
||
"21250,37000"
|
||
]
|
||
)
|
||
start &1
|
||
end &41
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 19,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 20,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "7000,35800,10400,37000"
|
||
st "clock"
|
||
blo "7000,36800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &2
|
||
)
|
||
*58 (Wire
|
||
uid 29,0
|
||
shape (OrthoPolyLine
|
||
uid 30,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "83000,13000,97000,21000"
|
||
pts [
|
||
"97000,21000"
|
||
"83000,21000"
|
||
"83000,13000"
|
||
]
|
||
)
|
||
start &3
|
||
end &52
|
||
sat 32
|
||
eat 32
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 33,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 34,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "96000,19800,101100,21000"
|
||
st "motorOn"
|
||
blo "96000,20800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &4
|
||
)
|
||
*59 (Wire
|
||
uid 43,0
|
||
optionalChildren [
|
||
*60 (BdJunction
|
||
uid 857,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 858,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "44600,7600,45400,8400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 44,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "28000,7000,48000,16000"
|
||
pts [
|
||
"28000,7000"
|
||
"45000,7000"
|
||
"45000,16000"
|
||
"48000,16000"
|
||
]
|
||
)
|
||
start &5
|
||
end &35
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 47,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 48,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "30000,5800,33700,7000"
|
||
st "Power"
|
||
blo "30000,6800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
*61 (Wire
|
||
uid 57,0
|
||
optionalChildren [
|
||
*62 (BdJunction
|
||
uid 572,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 573,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "6600,38600,7400,39400"
|
||
radius 400
|
||
)
|
||
)
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 58,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "5000,39000,21250,39000"
|
||
pts [
|
||
"5000,39000"
|
||
"21250,39000"
|
||
]
|
||
)
|
||
start &7
|
||
end &43
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 61,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 62,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "7000,37800,10300,39000"
|
||
st "reset"
|
||
blo "7000,38800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &8
|
||
)
|
||
*63 (Wire
|
||
uid 71,0
|
||
shape (OrthoPolyLine
|
||
uid 72,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "78000,37000,93000,37000"
|
||
pts [
|
||
"93000,37000"
|
||
"78000,37000"
|
||
]
|
||
)
|
||
start &9
|
||
end &26
|
||
sat 32
|
||
eat 2
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 75,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 76,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "92000,35800,95500,37000"
|
||
st "side1"
|
||
blo "92000,36800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &10
|
||
)
|
||
*64 (Wire
|
||
uid 85,0
|
||
shape (OrthoPolyLine
|
||
uid 86,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "78000,41000,93000,41000"
|
||
pts [
|
||
"93000,41000"
|
||
"78000,41000"
|
||
]
|
||
)
|
||
start &11
|
||
end &26
|
||
sat 32
|
||
eat 2
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 89,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 90,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "92000,39800,95500,41000"
|
||
st "side2"
|
||
blo "92000,40800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &12
|
||
)
|
||
*65 (Wire
|
||
uid 99,0
|
||
shape (OrthoPolyLine
|
||
uid 100,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "56000,38000,70000,38000"
|
||
pts [
|
||
"56000,38000"
|
||
"70000,38000"
|
||
]
|
||
)
|
||
start &13
|
||
end &26
|
||
sat 32
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 103,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 104,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "58000,36800,61500,38000"
|
||
st "SideL"
|
||
blo "58000,37800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &14
|
||
)
|
||
*66 (Wire
|
||
uid 406,0
|
||
shape (OrthoPolyLine
|
||
uid 407,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "56000,22000,70000,36000"
|
||
pts [
|
||
"56000,22000"
|
||
"64000,22000"
|
||
"64000,36000"
|
||
"70000,36000"
|
||
]
|
||
)
|
||
start &35
|
||
end &26
|
||
sat 2
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 412,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 413,0
|
||
va (VaSet
|
||
)
|
||
xt "58000,20800,63400,22000"
|
||
st "PWM_out"
|
||
blo "58000,21800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &30
|
||
)
|
||
*67 (Wire
|
||
uid 558,0
|
||
shape (OrthoPolyLine
|
||
uid 559,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "6000,15000,24000,37000"
|
||
pts [
|
||
"6000,37000"
|
||
"6000,15000"
|
||
"24000,15000"
|
||
]
|
||
)
|
||
start &57
|
||
end &31
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 562,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 563,0
|
||
va (VaSet
|
||
)
|
||
xt "20000,13800,23400,15000"
|
||
st "clock"
|
||
blo "20000,14800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &2
|
||
)
|
||
*68 (Wire
|
||
uid 566,0
|
||
shape (OrthoPolyLine
|
||
uid 567,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "7000,13000,24000,39000"
|
||
pts [
|
||
"7000,39000"
|
||
"7000,13000"
|
||
"24000,13000"
|
||
]
|
||
)
|
||
start &62
|
||
end &31
|
||
sat 32
|
||
eat 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 570,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 571,0
|
||
va (VaSet
|
||
)
|
||
xt "20000,11800,23300,13000"
|
||
st "reset"
|
||
blo "20000,12800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &8
|
||
)
|
||
*69 (Wire
|
||
uid 582,0
|
||
shape (OrthoPolyLine
|
||
uid 583,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "37000,17000,44000,22000"
|
||
pts [
|
||
"44000,22000"
|
||
"42000,22000"
|
||
"42000,17000"
|
||
"37000,17000"
|
||
]
|
||
)
|
||
start *70 (BdJunction
|
||
uid 762,0
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
uid 763,0
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "43600,21600,44400,22400"
|
||
radius 400
|
||
)
|
||
)
|
||
end &31
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 586,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 587,0
|
||
va (VaSet
|
||
)
|
||
xt "39000,15800,44400,17000"
|
||
st "countOut"
|
||
blo "39000,16800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &39
|
||
)
|
||
*71 (Wire
|
||
uid 592,0
|
||
shape (OrthoPolyLine
|
||
uid 593,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "51000,10000,51000,14000"
|
||
pts [
|
||
"51000,10000"
|
||
"51000,14000"
|
||
]
|
||
)
|
||
end &35
|
||
sat 16
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 598,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 599,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "49800,11500,51000,14900"
|
||
st "clock"
|
||
blo "50800,14900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &2
|
||
)
|
||
*72 (Wire
|
||
uid 602,0
|
||
shape (OrthoPolyLine
|
||
uid 603,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "53000,10000,53000,14000"
|
||
pts [
|
||
"53000,10000"
|
||
"53000,14000"
|
||
]
|
||
)
|
||
end &35
|
||
sat 16
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 608,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 609,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "51800,11600,53000,14900"
|
||
st "reset"
|
||
blo "52800,14900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &8
|
||
)
|
||
*73 (Wire
|
||
uid 612,0
|
||
shape (OrthoPolyLine
|
||
uid 613,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "73000,29000,73000,34000"
|
||
pts [
|
||
"73000,29000"
|
||
"73000,34000"
|
||
]
|
||
)
|
||
end &26
|
||
sat 16
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 618,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 619,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "71800,30500,73000,33900"
|
||
st "clock"
|
||
blo "72800,33900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &2
|
||
)
|
||
*74 (Wire
|
||
uid 622,0
|
||
shape (OrthoPolyLine
|
||
uid 623,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "76000,29000,76000,34000"
|
||
pts [
|
||
"76000,29000"
|
||
"76000,34000"
|
||
]
|
||
)
|
||
end &26
|
||
sat 16
|
||
eat 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 628,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 629,0
|
||
ro 270
|
||
va (VaSet
|
||
)
|
||
xt "74800,30600,76000,33900"
|
||
st "reset"
|
||
blo "75800,33900"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &8
|
||
)
|
||
*75 (Wire
|
||
uid 756,0
|
||
optionalChildren [
|
||
&70
|
||
]
|
||
shape (OrthoPolyLine
|
||
uid 757,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "38750,22000,48000,33000"
|
||
pts [
|
||
"38750,33000"
|
||
"44000,33000"
|
||
"44000,22000"
|
||
"48000,22000"
|
||
]
|
||
)
|
||
start &42
|
||
end &35
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 760,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 761,0
|
||
va (VaSet
|
||
)
|
||
xt "40750,31800,46150,33000"
|
||
st "countOut"
|
||
blo "40750,32800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &39
|
||
)
|
||
*76 (Wire
|
||
uid 766,0
|
||
shape (OrthoPolyLine
|
||
uid 767,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "11000,17000,24000,33000"
|
||
pts [
|
||
"21250,33000"
|
||
"11000,33000"
|
||
"11000,17000"
|
||
"24000,17000"
|
||
]
|
||
)
|
||
start &44
|
||
end &31
|
||
sat 32
|
||
eat 2
|
||
stc 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 770,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 771,0
|
||
va (VaSet
|
||
)
|
||
xt "16250,31800,20250,33000"
|
||
st "enable"
|
||
blo "16250,32800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &49
|
||
)
|
||
*77 (Wire
|
||
uid 774,0
|
||
shape (OrthoPolyLine
|
||
uid 775,0
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
xt "13000,20000,24000,31000"
|
||
pts [
|
||
"21250,31000"
|
||
"13000,31000"
|
||
"13000,20000"
|
||
"24000,20000"
|
||
]
|
||
)
|
||
start &45
|
||
end &31
|
||
sat 32
|
||
eat 2
|
||
stc 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 778,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 779,0
|
||
va (VaSet
|
||
)
|
||
xt "14250,29800,20050,31000"
|
||
st "resetSync"
|
||
blo "14250,30800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &50
|
||
)
|
||
*78 (Wire
|
||
uid 851,0
|
||
shape (OrthoPolyLine
|
||
uid 852,0
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
xt "45000,8000,70000,42000"
|
||
pts [
|
||
"45000,8000"
|
||
"67000,8000"
|
||
"67000,42000"
|
||
"70000,42000"
|
||
]
|
||
)
|
||
start &60
|
||
end &26
|
||
sat 32
|
||
eat 1
|
||
sty 1
|
||
stc 0
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
uid 855,0
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
uid 856,0
|
||
va (VaSet
|
||
)
|
||
xt "65000,40800,68700,42000"
|
||
st "Power"
|
||
blo "65000,41800"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
on &6
|
||
)
|
||
]
|
||
bg "65535,65535,65535"
|
||
grid (Grid
|
||
origin "0,0"
|
||
isVisible 1
|
||
isActive 1
|
||
xSpacing 1000
|
||
xySpacing 1000
|
||
xShown 1
|
||
yShown 1
|
||
color "26368,26368,26368"
|
||
)
|
||
packageList *79 (PackageList
|
||
uid 153,0
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*80 (Text
|
||
uid 154,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,0,7600,1200"
|
||
st "Package List"
|
||
blo "0,1000"
|
||
)
|
||
*81 (MLText
|
||
uid 155,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "0,1200,17500,7200"
|
||
st "LIBRARY ieee;
|
||
USE ieee.std_logic_1164.all;
|
||
USE ieee.numeric_std.all;
|
||
LIBRARY gates;
|
||
USE gates.gates.all;"
|
||
tm "PackageList"
|
||
)
|
||
]
|
||
)
|
||
compDirBlock (MlTextGroup
|
||
uid 156,0
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*82 (Text
|
||
uid 157,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,30800,1200"
|
||
st "Compiler Directives"
|
||
blo "20000,1000"
|
||
)
|
||
*83 (Text
|
||
uid 158,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,1200,33100,2400"
|
||
st "Pre-module directives:"
|
||
blo "20000,2200"
|
||
)
|
||
*84 (MLText
|
||
uid 159,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,2400,32100,4800"
|
||
st "`resetall
|
||
`timescale 1ns/10ps"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
*85 (Text
|
||
uid 160,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,4800,33700,6000"
|
||
st "Post-module directives:"
|
||
blo "20000,5800"
|
||
)
|
||
*86 (MLText
|
||
uid 161,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
*87 (Text
|
||
uid 162,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,6000,33200,7200"
|
||
st "End-module directives:"
|
||
blo "20000,7000"
|
||
)
|
||
*88 (MLText
|
||
uid 163,0
|
||
va (VaSet
|
||
isHidden 1
|
||
)
|
||
xt "20000,7200,20000,7200"
|
||
tm "BdCompilerDirectivesTextMgr"
|
||
)
|
||
]
|
||
associable 1
|
||
)
|
||
windowSize "0,0,1715,1119"
|
||
viewArea "-6700,-18804,136052,73644"
|
||
cachedDiagramExtent "-1400,0,105100,49000"
|
||
hasePageBreakOrigin 1
|
||
pageBreakOrigin "-7000,0"
|
||
lastUid 1245,0
|
||
defaultCommentText (CommentText
|
||
shape (Rectangle
|
||
layer 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65280,65280,46080"
|
||
lineColor "0,0,32768"
|
||
)
|
||
xt "0,0,15000,5000"
|
||
)
|
||
text (MLText
|
||
va (VaSet
|
||
fg "0,0,32768"
|
||
)
|
||
xt "200,200,3200,1400"
|
||
st "
|
||
Text
|
||
"
|
||
tm "CommentText"
|
||
wrapOption 3
|
||
visibleHeight 4600
|
||
visibleWidth 14600
|
||
)
|
||
)
|
||
defaultRequirementText (RequirementText
|
||
shape (ZoomableIcon
|
||
layer 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "59904,39936,65280"
|
||
lineColor "0,0,32768"
|
||
)
|
||
xt "0,0,1500,1750"
|
||
iconName "reqTracerRequirement.bmp"
|
||
iconMaskName "reqTracerRequirement.msk"
|
||
)
|
||
autoResize 1
|
||
text (MLText
|
||
va (VaSet
|
||
fg "0,0,32768"
|
||
font "arial,8,0"
|
||
)
|
||
xt "500,2150,1400,3150"
|
||
st "
|
||
Text
|
||
"
|
||
tm "RequirementText"
|
||
wrapOption 3
|
||
visibleHeight 1350
|
||
visibleWidth 1100
|
||
)
|
||
)
|
||
defaultPanel (Panel
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "32768,0,0"
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1000,1000,5000,2200"
|
||
st "Panel0"
|
||
blo "1000,2000"
|
||
tm "PanelText"
|
||
)
|
||
)
|
||
)
|
||
defaultBlk (Blk
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "39936,56832,65280"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*89 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,3200,6700,4400"
|
||
st "<library>"
|
||
blo "1300,4200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*90 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,4400,6100,5600"
|
||
st "<block>"
|
||
blo "1300,5400"
|
||
tm "BlkNameMgr"
|
||
)
|
||
*91 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "1300,5600,3800,6800"
|
||
st "U_0"
|
||
blo "1300,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "1300,13200,1300,13200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
defaultMWComponent (MWC
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-850,0,8850,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*92 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,3200,3750,4400"
|
||
st "Library"
|
||
blo "-350,4200"
|
||
)
|
||
*93 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,4400,8350,5600"
|
||
st "MWComponent"
|
||
blo "-350,5400"
|
||
)
|
||
*94 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-350,5600,2150,6800"
|
||
st "U_0"
|
||
blo "-350,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7350,1200,-7350,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
portVis (PortSigDisplay
|
||
)
|
||
prms (Property
|
||
pclass "params"
|
||
pname "params"
|
||
ptn "String"
|
||
)
|
||
visOptions (mwParamsVisibilityOptions
|
||
)
|
||
)
|
||
defaultSaComponent (SaComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*95 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,3200,4100,4400"
|
||
st "Library"
|
||
blo "0,4200"
|
||
tm "BdLibraryNameMgr"
|
||
)
|
||
*96 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,4400,8000,5600"
|
||
st "SaComponent"
|
||
blo "0,5400"
|
||
tm "CptNameMgr"
|
||
)
|
||
*97 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "0,5600,2500,6800"
|
||
st "U_0"
|
||
blo "0,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7000,1200,-7000,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
portVis (PortSigDisplay
|
||
)
|
||
archFileType "UNKNOWN"
|
||
)
|
||
defaultVhdlComponent (VhdlComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-1000,0,9000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*98 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,3200,3600,4400"
|
||
st "Library"
|
||
blo "-500,4200"
|
||
)
|
||
*99 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,4400,8500,5600"
|
||
st "VhdlComponent"
|
||
blo "-500,5400"
|
||
)
|
||
*100 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-500,5600,2000,6800"
|
||
st "U_0"
|
||
blo "-500,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-7500,1200,-7500,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
portVis (PortSigDisplay
|
||
)
|
||
entityPath ""
|
||
archName ""
|
||
archPath ""
|
||
)
|
||
defaultVerilogComponent (VerilogComponent
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
lineColor "0,32896,0"
|
||
lineWidth 2
|
||
)
|
||
xt "-1650,0,9650,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*101 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,3200,2950,4400"
|
||
st "Library"
|
||
blo "-1150,4200"
|
||
)
|
||
*102 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,4400,9150,5600"
|
||
st "VerilogComponent"
|
||
blo "-1150,5400"
|
||
)
|
||
*103 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-1150,5600,1350,6800"
|
||
st "U_0"
|
||
blo "-1150,6600"
|
||
tm "InstanceNameMgr"
|
||
)
|
||
]
|
||
)
|
||
ga (GenericAssociation
|
||
ps "EdgeToEdgeStrategy"
|
||
matrix (Matrix
|
||
text (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "-8150,1200,-8150,1200"
|
||
)
|
||
header ""
|
||
)
|
||
elements [
|
||
]
|
||
)
|
||
entityPath ""
|
||
)
|
||
defaultHdlText (HdlText
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,37120"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,8000,10000"
|
||
)
|
||
ttg (MlTextGroup
|
||
ps "CenterOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*104 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "2800,3800,5200,5000"
|
||
st "eb1"
|
||
blo "2800,4800"
|
||
tm "HdlTextNameMgr"
|
||
)
|
||
*105 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "2800,5000,4000,6200"
|
||
st "1"
|
||
blo "2800,6000"
|
||
tm "HdlTextNumberMgr"
|
||
)
|
||
]
|
||
)
|
||
viewicon (ZoomableIcon
|
||
sl 0
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "49152,49152,49152"
|
||
)
|
||
xt "0,0,1500,1500"
|
||
iconName "UnknownFile.png"
|
||
iconMaskName "UnknownFile.msk"
|
||
)
|
||
viewiconposition 0
|
||
)
|
||
defaultEmbeddedText (EmbeddedText
|
||
commentText (CommentText
|
||
ps "CenterOffsetStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,18000,5000"
|
||
)
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "200,200,3200,1400"
|
||
st "
|
||
Text
|
||
"
|
||
tm "HdlTextMgr"
|
||
wrapOption 3
|
||
visibleHeight 4600
|
||
visibleWidth 17600
|
||
)
|
||
)
|
||
)
|
||
defaultGlobalConnector (GlobalConnector
|
||
shape (Circle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,0"
|
||
)
|
||
xt "-1000,-1000,1000,1000"
|
||
radius 1000
|
||
)
|
||
name (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "-650,-600,650,600"
|
||
st "G"
|
||
blo "-650,400"
|
||
)
|
||
)
|
||
defaultRipper (Ripper
|
||
ps "OnConnectorStrategy"
|
||
shape (Line2D
|
||
pts [
|
||
"0,0"
|
||
"1000,1000"
|
||
]
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "0,0,1000,1000"
|
||
)
|
||
)
|
||
defaultBdJunction (BdJunction
|
||
ps "OnConnectorStrategy"
|
||
shape (Circle
|
||
va (VaSet
|
||
vasetType 1
|
||
)
|
||
xt "-400,-400,400,400"
|
||
radius 400
|
||
)
|
||
)
|
||
defaultPortIoIn (PortIoIn
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
sl 0
|
||
ro 270
|
||
xt "-2000,-375,-500,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
ro 270
|
||
xt "-500,0,0,0"
|
||
pts [
|
||
"-500,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "-1375,-1000,-1375,-1000"
|
||
ju 2
|
||
blo "-1375,-1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoOut (PortIoOut
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Pentagon
|
||
sl 0
|
||
ro 270
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
ro 270
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "625,-1000,625,-1000"
|
||
blo "625,-1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoInOut (PortIoInOut
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Hexagon
|
||
sl 0
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,-375,0,-375"
|
||
blo "0,-375"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultPortIoBuffer (PortIoBuffer
|
||
shape (CompositeShape
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
)
|
||
optionalChildren [
|
||
(Hexagon
|
||
sl 0
|
||
xt "500,-375,2000,375"
|
||
)
|
||
(Line
|
||
sl 0
|
||
xt "0,0,500,0"
|
||
pts [
|
||
"0,0"
|
||
"500,0"
|
||
]
|
||
)
|
||
]
|
||
)
|
||
stc 0
|
||
sf 1
|
||
tg (WTG
|
||
ps "PortIoTextPlaceStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,-375,0,-375"
|
||
blo "0,-375"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultSignal (Wire
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,2900,1200"
|
||
st "sig0"
|
||
blo "0,1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultBus (Wire
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
lineWidth 2
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
sty 1
|
||
st 0
|
||
sf 1
|
||
si 0
|
||
tg (WTG
|
||
ps "ConnStartEndStrategy"
|
||
stg "STSignalDisplayStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,3800,1200"
|
||
st "dbus0"
|
||
blo "0,1000"
|
||
tm "WireNameMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultBundle (Bundle
|
||
shape (OrthoPolyLine
|
||
va (VaSet
|
||
vasetType 3
|
||
lineColor "32768,0,0"
|
||
lineWidth 2
|
||
)
|
||
pts [
|
||
"0,0"
|
||
"0,0"
|
||
]
|
||
)
|
||
ss 0
|
||
es 0
|
||
sat 32
|
||
eat 32
|
||
textGroup (BiTextGroup
|
||
ps "ConnStartEndStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
first (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,0,4700,1200"
|
||
st "bundle0"
|
||
blo "0,1000"
|
||
tm "BundleNameMgr"
|
||
)
|
||
second (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,1200,1500,2400"
|
||
st "()"
|
||
tm "BundleContentsMgr"
|
||
)
|
||
)
|
||
bundleNet &0
|
||
)
|
||
defaultPortMapFrame (PortMapFrame
|
||
ps "PortMapFrameStrategy"
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "0,0,32768"
|
||
lineWidth 2
|
||
)
|
||
xt "0,0,10000,12000"
|
||
)
|
||
portMapText (BiTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
first (MLText
|
||
va (VaSet
|
||
)
|
||
)
|
||
second (MLText
|
||
va (VaSet
|
||
)
|
||
tm "PortMapTextMgr"
|
||
)
|
||
)
|
||
)
|
||
defaultGenFrame (Frame
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "26368,26368,26368"
|
||
lineStyle 2
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,-1300,18500,-100"
|
||
st "g0: FOR i IN 0 TO n GENERATE"
|
||
tm "FrameTitleTextMgr"
|
||
)
|
||
)
|
||
seqNum (FrameSequenceNumber
|
||
ps "TopLeftStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "50,50,1850,1650"
|
||
)
|
||
num (Text
|
||
va (VaSet
|
||
)
|
||
xt "250,250,1650,1450"
|
||
st "1"
|
||
blo "250,1250"
|
||
tm "FrameSeqNumMgr"
|
||
)
|
||
)
|
||
decls (MlTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*106 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "11200,20000,22000,21200"
|
||
st "Frame Declarations"
|
||
blo "11200,21000"
|
||
)
|
||
*107 (MLText
|
||
va (VaSet
|
||
)
|
||
xt "11200,21200,11200,21200"
|
||
tm "BdFrameDeclTextMgr"
|
||
)
|
||
]
|
||
)
|
||
)
|
||
defaultBlockFrame (Frame
|
||
shape (RectFrame
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
lineColor "26368,26368,26368"
|
||
lineStyle 1
|
||
lineWidth 3
|
||
)
|
||
xt "0,0,20000,20000"
|
||
)
|
||
title (TextAssociate
|
||
ps "TopLeftStrategy"
|
||
text (MLText
|
||
va (VaSet
|
||
)
|
||
xt "0,-1300,11000,-100"
|
||
st "b0: BLOCK (guard)"
|
||
tm "FrameTitleTextMgr"
|
||
)
|
||
)
|
||
seqNum (FrameSequenceNumber
|
||
ps "TopLeftStrategy"
|
||
shape (Rectangle
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "50,50,1850,1650"
|
||
)
|
||
num (Text
|
||
va (VaSet
|
||
)
|
||
xt "250,250,1650,1450"
|
||
st "1"
|
||
blo "250,1250"
|
||
tm "FrameSeqNumMgr"
|
||
)
|
||
)
|
||
decls (MlTextGroup
|
||
ps "BottomRightOffsetStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
textVec [
|
||
*108 (Text
|
||
va (VaSet
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "11200,20000,22000,21200"
|
||
st "Frame Declarations"
|
||
blo "11200,21000"
|
||
)
|
||
*109 (MLText
|
||
va (VaSet
|
||
)
|
||
xt "11200,21200,11200,21200"
|
||
tm "BdFrameDeclTextMgr"
|
||
)
|
||
]
|
||
)
|
||
style 3
|
||
)
|
||
defaultSaCptPort (CptPort
|
||
ps "OnEdgeStrategy"
|
||
shape (Triangle
|
||
ro 90
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "0,65535,0"
|
||
)
|
||
xt "0,0,750,750"
|
||
)
|
||
tg (CPTG
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,750,2800,1950"
|
||
st "Port"
|
||
blo "0,1750"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
lang 2
|
||
decl (Decl
|
||
n "Port"
|
||
t ""
|
||
o 0
|
||
)
|
||
)
|
||
)
|
||
defaultSaCptPortBuffer (CptPort
|
||
ps "OnEdgeStrategy"
|
||
shape (Diamond
|
||
va (VaSet
|
||
vasetType 1
|
||
fg "65535,65535,65535"
|
||
)
|
||
xt "0,0,750,750"
|
||
)
|
||
tg (CPTG
|
||
ps "CptPortTextPlaceStrategy"
|
||
stg "VerticalLayoutStrategy"
|
||
f (Text
|
||
va (VaSet
|
||
)
|
||
xt "0,750,2800,1950"
|
||
st "Port"
|
||
blo "0,1750"
|
||
)
|
||
)
|
||
thePort (LogicalPort
|
||
lang 2
|
||
m 3
|
||
decl (Decl
|
||
n "Port"
|
||
t ""
|
||
o 0
|
||
)
|
||
)
|
||
)
|
||
defaultDeclText (MLText
|
||
va (VaSet
|
||
font "Courier New,8,0"
|
||
)
|
||
)
|
||
archDeclarativeBlock (BdArchDeclBlock
|
||
uid 1,0
|
||
stg "BdArchDeclBlockLS"
|
||
declLabel (Text
|
||
uid 2,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,27400,1200"
|
||
st "Declarations"
|
||
blo "20000,1000"
|
||
)
|
||
portLabel (Text
|
||
uid 3,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,1200,23700,2400"
|
||
st "Ports:"
|
||
blo "20000,2200"
|
||
)
|
||
preUserLabel (Text
|
||
uid 4,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,25200,1200"
|
||
st "Pre User:"
|
||
blo "20000,1000"
|
||
)
|
||
preUserText (MLText
|
||
uid 5,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdDeclarativeTextMgr"
|
||
)
|
||
diagSignalLabel (Text
|
||
uid 6,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,1200,29500,2400"
|
||
st "Diagram Signals:"
|
||
blo "20000,2200"
|
||
)
|
||
postUserLabel (Text
|
||
uid 7,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Verdana,9,1"
|
||
)
|
||
xt "20000,0,26400,1200"
|
||
st "Post User:"
|
||
blo "20000,1000"
|
||
)
|
||
postUserText (MLText
|
||
uid 8,0
|
||
va (VaSet
|
||
isHidden 1
|
||
font "Courier New,8,0"
|
||
)
|
||
xt "20000,0,20000,0"
|
||
tm "BdDeclarativeTextMgr"
|
||
)
|
||
)
|
||
commonDM (CommonDM
|
||
ldm (LogicalDM
|
||
suid 44,0
|
||
usingSuid 1
|
||
emptyRow *110 (LEmptyRow
|
||
)
|
||
uid 166,0
|
||
optionalChildren [
|
||
*111 (RefLabelRowHdr
|
||
)
|
||
*112 (TitleRowHdr
|
||
)
|
||
*113 (FilterRowHdr
|
||
)
|
||
*114 (RefLabelColHdr
|
||
tm "RefLabelColHdrMgr"
|
||
)
|
||
*115 (RowExpandColHdr
|
||
tm "RowExpandColHdrMgr"
|
||
)
|
||
*116 (GroupColHdr
|
||
tm "GroupColHdrMgr"
|
||
)
|
||
*117 (NameColHdr
|
||
tm "BlockDiagramNameColHdrMgr"
|
||
)
|
||
*118 (ModeColHdr
|
||
tm "BlockDiagramModeColHdrMgr"
|
||
)
|
||
*119 (TypeColHdr
|
||
tm "BlockDiagramTypeColHdrMgr"
|
||
)
|
||
*120 (BoundsColHdr
|
||
tm "BlockDiagramBoundsColHdrMgr"
|
||
)
|
||
*121 (InitColHdr
|
||
tm "BlockDiagramInitColHdrMgr"
|
||
)
|
||
*122 (EolColHdr
|
||
tm "BlockDiagramEolColHdrMgr"
|
||
)
|
||
*123 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "Power"
|
||
t "std_ulogic_vector"
|
||
b "(7 DOWNTO 0)"
|
||
o 1
|
||
suid 3,0
|
||
)
|
||
)
|
||
uid 107,0
|
||
)
|
||
*124 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "SideL"
|
||
t "std_ulogic"
|
||
o 2
|
||
suid 7,0
|
||
)
|
||
)
|
||
uid 109,0
|
||
)
|
||
*125 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "clock"
|
||
t "std_ulogic"
|
||
o 3
|
||
suid 1,0
|
||
)
|
||
)
|
||
uid 111,0
|
||
)
|
||
*126 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "motorOn"
|
||
t "std_uLogic"
|
||
o 4
|
||
suid 2,0
|
||
)
|
||
)
|
||
uid 113,0
|
||
)
|
||
*127 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
decl (Decl
|
||
n "reset"
|
||
t "std_ulogic"
|
||
o 5
|
||
suid 4,0
|
||
)
|
||
)
|
||
uid 115,0
|
||
)
|
||
*128 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "side1"
|
||
t "std_uLogic"
|
||
o 6
|
||
suid 5,0
|
||
)
|
||
)
|
||
uid 117,0
|
||
)
|
||
*129 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 1
|
||
decl (Decl
|
||
n "side2"
|
||
t "std_uLogic"
|
||
o 7
|
||
suid 6,0
|
||
)
|
||
)
|
||
uid 119,0
|
||
)
|
||
*130 (LeafLogPort
|
||
port (LogicalPort
|
||
lang 11
|
||
m 4
|
||
decl (Decl
|
||
n "PWM_out"
|
||
t "std_ulogic"
|
||
o 12
|
||
suid 13,0
|
||
)
|
||
)
|
||
uid 424,0
|
||
)
|
||
*131 (LeafLogPort
|
||
port (LogicalPort
|
||
m 4
|
||
decl (Decl
|
||
n "countOut"
|
||
t "std_ulogic_vector"
|
||
b "(7 DOWNTO 0)"
|
||
o 12
|
||
suid 19,0
|
||
)
|
||
)
|
||
uid 630,0
|
||
)
|
||
*132 (LeafLogPort
|
||
port (LogicalPort
|
||
m 4
|
||
decl (Decl
|
||
n "enable"
|
||
t "std_ulogic"
|
||
o 10
|
||
suid 25,0
|
||
)
|
||
)
|
||
uid 780,0
|
||
)
|
||
*133 (LeafLogPort
|
||
port (LogicalPort
|
||
m 4
|
||
decl (Decl
|
||
n "resetSync"
|
||
t "std_ulogic"
|
||
o 11
|
||
suid 26,0
|
||
)
|
||
)
|
||
uid 782,0
|
||
)
|
||
]
|
||
)
|
||
pdm (PhysicalDM
|
||
displayShortBounds 1
|
||
editShortBounds 1
|
||
uid 179,0
|
||
optionalChildren [
|
||
*134 (Sheet
|
||
sheetRow (SheetRow
|
||
headerVa (MVa
|
||
cellColor "49152,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
cellVa (MVa
|
||
cellColor "65535,65535,65535"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
groupVa (MVa
|
||
cellColor "39936,56832,65280"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
emptyMRCItem *135 (MRCItem
|
||
litem &110
|
||
pos 11
|
||
dimension 20
|
||
)
|
||
uid 181,0
|
||
optionalChildren [
|
||
*136 (MRCItem
|
||
litem &111
|
||
pos 0
|
||
dimension 20
|
||
uid 182,0
|
||
)
|
||
*137 (MRCItem
|
||
litem &112
|
||
pos 1
|
||
dimension 23
|
||
uid 183,0
|
||
)
|
||
*138 (MRCItem
|
||
litem &113
|
||
pos 2
|
||
hidden 1
|
||
dimension 20
|
||
uid 184,0
|
||
)
|
||
*139 (MRCItem
|
||
litem &123
|
||
pos 0
|
||
dimension 20
|
||
uid 108,0
|
||
)
|
||
*140 (MRCItem
|
||
litem &124
|
||
pos 1
|
||
dimension 20
|
||
uid 110,0
|
||
)
|
||
*141 (MRCItem
|
||
litem &125
|
||
pos 2
|
||
dimension 20
|
||
uid 112,0
|
||
)
|
||
*142 (MRCItem
|
||
litem &126
|
||
pos 3
|
||
dimension 20
|
||
uid 114,0
|
||
)
|
||
*143 (MRCItem
|
||
litem &127
|
||
pos 4
|
||
dimension 20
|
||
uid 116,0
|
||
)
|
||
*144 (MRCItem
|
||
litem &128
|
||
pos 5
|
||
dimension 20
|
||
uid 118,0
|
||
)
|
||
*145 (MRCItem
|
||
litem &129
|
||
pos 6
|
||
dimension 20
|
||
uid 120,0
|
||
)
|
||
*146 (MRCItem
|
||
litem &130
|
||
pos 7
|
||
dimension 20
|
||
uid 425,0
|
||
)
|
||
*147 (MRCItem
|
||
litem &131
|
||
pos 8
|
||
dimension 20
|
||
uid 631,0
|
||
)
|
||
*148 (MRCItem
|
||
litem &132
|
||
pos 9
|
||
dimension 20
|
||
uid 781,0
|
||
)
|
||
*149 (MRCItem
|
||
litem &133
|
||
pos 10
|
||
dimension 20
|
||
uid 783,0
|
||
)
|
||
]
|
||
)
|
||
sheetCol (SheetCol
|
||
propVa (MVa
|
||
cellColor "0,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
textAngle 90
|
||
)
|
||
uid 185,0
|
||
optionalChildren [
|
||
*150 (MRCItem
|
||
litem &114
|
||
pos 0
|
||
dimension 20
|
||
uid 186,0
|
||
)
|
||
*151 (MRCItem
|
||
litem &116
|
||
pos 1
|
||
dimension 50
|
||
uid 187,0
|
||
)
|
||
*152 (MRCItem
|
||
litem &117
|
||
pos 2
|
||
dimension 100
|
||
uid 188,0
|
||
)
|
||
*153 (MRCItem
|
||
litem &118
|
||
pos 3
|
||
dimension 50
|
||
uid 189,0
|
||
)
|
||
*154 (MRCItem
|
||
litem &119
|
||
pos 4
|
||
dimension 100
|
||
uid 190,0
|
||
)
|
||
*155 (MRCItem
|
||
litem &120
|
||
pos 5
|
||
dimension 100
|
||
uid 191,0
|
||
)
|
||
*156 (MRCItem
|
||
litem &121
|
||
pos 6
|
||
dimension 50
|
||
uid 192,0
|
||
)
|
||
*157 (MRCItem
|
||
litem &122
|
||
pos 7
|
||
dimension 80
|
||
uid 193,0
|
||
)
|
||
]
|
||
)
|
||
fixedCol 4
|
||
fixedRow 2
|
||
name "Ports"
|
||
uid 180,0
|
||
vaOverrides [
|
||
]
|
||
)
|
||
]
|
||
)
|
||
uid 165,0
|
||
)
|
||
genericsCommonDM (CommonDM
|
||
ldm (LogicalDM
|
||
emptyRow *158 (LEmptyRow
|
||
)
|
||
uid 195,0
|
||
optionalChildren [
|
||
*159 (RefLabelRowHdr
|
||
)
|
||
*160 (TitleRowHdr
|
||
)
|
||
*161 (FilterRowHdr
|
||
)
|
||
*162 (RefLabelColHdr
|
||
tm "RefLabelColHdrMgr"
|
||
)
|
||
*163 (RowExpandColHdr
|
||
tm "RowExpandColHdrMgr"
|
||
)
|
||
*164 (GroupColHdr
|
||
tm "GroupColHdrMgr"
|
||
)
|
||
*165 (NameColHdr
|
||
tm "GenericNameColHdrMgr"
|
||
)
|
||
*166 (TypeColHdr
|
||
tm "GenericTypeColHdrMgr"
|
||
)
|
||
*167 (InitColHdr
|
||
tm "GenericValueColHdrMgr"
|
||
)
|
||
*168 (PragmaColHdr
|
||
tm "GenericPragmaColHdrMgr"
|
||
)
|
||
*169 (EolColHdr
|
||
tm "GenericEolColHdrMgr"
|
||
)
|
||
]
|
||
)
|
||
pdm (PhysicalDM
|
||
displayShortBounds 1
|
||
editShortBounds 1
|
||
uid 207,0
|
||
optionalChildren [
|
||
*170 (Sheet
|
||
sheetRow (SheetRow
|
||
headerVa (MVa
|
||
cellColor "49152,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
cellVa (MVa
|
||
cellColor "65535,65535,65535"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
groupVa (MVa
|
||
cellColor "39936,56832,65280"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
)
|
||
emptyMRCItem *171 (MRCItem
|
||
litem &158
|
||
pos 0
|
||
dimension 20
|
||
)
|
||
uid 209,0
|
||
optionalChildren [
|
||
*172 (MRCItem
|
||
litem &159
|
||
pos 0
|
||
dimension 20
|
||
uid 210,0
|
||
)
|
||
*173 (MRCItem
|
||
litem &160
|
||
pos 1
|
||
dimension 23
|
||
uid 211,0
|
||
)
|
||
*174 (MRCItem
|
||
litem &161
|
||
pos 2
|
||
hidden 1
|
||
dimension 20
|
||
uid 212,0
|
||
)
|
||
]
|
||
)
|
||
sheetCol (SheetCol
|
||
propVa (MVa
|
||
cellColor "0,49152,49152"
|
||
fontColor "0,0,0"
|
||
font "Tahoma,10,0"
|
||
textAngle 90
|
||
)
|
||
uid 213,0
|
||
optionalChildren [
|
||
*175 (MRCItem
|
||
litem &162
|
||
pos 0
|
||
dimension 20
|
||
uid 214,0
|
||
)
|
||
*176 (MRCItem
|
||
litem &164
|
||
pos 1
|
||
dimension 50
|
||
uid 215,0
|
||
)
|
||
*177 (MRCItem
|
||
litem &165
|
||
pos 2
|
||
dimension 100
|
||
uid 216,0
|
||
)
|
||
*178 (MRCItem
|
||
litem &166
|
||
pos 3
|
||
dimension 100
|
||
uid 217,0
|
||
)
|
||
*179 (MRCItem
|
||
litem &167
|
||
pos 4
|
||
dimension 50
|
||
uid 218,0
|
||
)
|
||
*180 (MRCItem
|
||
litem &168
|
||
pos 5
|
||
dimension 50
|
||
uid 219,0
|
||
)
|
||
*181 (MRCItem
|
||
litem &169
|
||
pos 6
|
||
dimension 80
|
||
uid 220,0
|
||
)
|
||
]
|
||
)
|
||
fixedCol 3
|
||
fixedRow 2
|
||
name "Ports"
|
||
uid 208,0
|
||
vaOverrides [
|
||
]
|
||
)
|
||
]
|
||
)
|
||
uid 194,0
|
||
type 1
|
||
)
|
||
activeModelName "BlockDiag:CDM"
|
||
)
|