mirror of
https://github.com/Klagarge/Cursor.git
synced 2025-04-19 22:04:09 +00:00
1626 lines
20 KiB
Plaintext
1626 lines
20 KiB
Plaintext
DocumentHdrVersion "1.1"
|
|
Header (DocumentHdr
|
|
version 2
|
|
dialect 11
|
|
dmPackageRefs [
|
|
(DmPackageRef
|
|
library "ieee"
|
|
unitName "std_logic_1164"
|
|
)
|
|
(DmPackageRef
|
|
library "ieee"
|
|
unitName "numeric_std"
|
|
)
|
|
]
|
|
libraryRefs [
|
|
"ieee"
|
|
]
|
|
)
|
|
version "26.1"
|
|
appVersion "2018.1 (Build 12)"
|
|
model (Symbol
|
|
commonDM (CommonDM
|
|
ldm (LogicalDM
|
|
suid 10,0
|
|
usingSuid 1
|
|
emptyRow *1 (LEmptyRow
|
|
)
|
|
uid 67,0
|
|
optionalChildren [
|
|
*2 (RefLabelRowHdr
|
|
)
|
|
*3 (TitleRowHdr
|
|
)
|
|
*4 (FilterRowHdr
|
|
)
|
|
*5 (RefLabelColHdr
|
|
tm "RefLabelColHdrMgr"
|
|
)
|
|
*6 (RowExpandColHdr
|
|
tm "RowExpandColHdrMgr"
|
|
)
|
|
*7 (GroupColHdr
|
|
tm "GroupColHdrMgr"
|
|
)
|
|
*8 (NameColHdr
|
|
tm "NameColHdrMgr"
|
|
)
|
|
*9 (ModeColHdr
|
|
tm "ModeColHdrMgr"
|
|
)
|
|
*10 (TypeColHdr
|
|
tm "TypeColHdrMgr"
|
|
)
|
|
*11 (BoundsColHdr
|
|
tm "BoundsColHdrMgr"
|
|
)
|
|
*12 (InitColHdr
|
|
tm "InitColHdrMgr"
|
|
)
|
|
*13 (EolColHdr
|
|
tm "EolColHdrMgr"
|
|
)
|
|
*14 (LogPort
|
|
port (LogicalPort
|
|
decl (Decl
|
|
n "clock"
|
|
t "std_ulogic"
|
|
o 1
|
|
suid 6,0
|
|
)
|
|
)
|
|
uid 209,0
|
|
)
|
|
*15 (LogPort
|
|
port (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "endOfRefreshCount"
|
|
t "std_ulogic"
|
|
o 22
|
|
suid 7,0
|
|
)
|
|
)
|
|
uid 211,0
|
|
)
|
|
*16 (LogPort
|
|
port (LogicalPort
|
|
decl (Decl
|
|
n "powerUpDone"
|
|
t "std_ulogic"
|
|
o 21
|
|
suid 8,0
|
|
)
|
|
)
|
|
uid 213,0
|
|
)
|
|
*17 (LogPort
|
|
port (LogicalPort
|
|
decl (Decl
|
|
n "reset"
|
|
t "std_ulogic"
|
|
o 8
|
|
suid 9,0
|
|
)
|
|
)
|
|
uid 215,0
|
|
)
|
|
*18 (LogPort
|
|
port (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "selectRefresh"
|
|
t "std_ulogic"
|
|
o 5
|
|
suid 10,0
|
|
)
|
|
)
|
|
uid 295,0
|
|
)
|
|
]
|
|
)
|
|
pdm (PhysicalDM
|
|
displayShortBounds 1
|
|
editShortBounds 1
|
|
uid 80,0
|
|
optionalChildren [
|
|
*19 (Sheet
|
|
sheetRow (SheetRow
|
|
headerVa (MVa
|
|
cellColor "49152,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
cellVa (MVa
|
|
cellColor "65535,65535,65535"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
groupVa (MVa
|
|
cellColor "39936,56832,65280"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
emptyMRCItem *20 (MRCItem
|
|
litem &1
|
|
pos 5
|
|
dimension 20
|
|
)
|
|
uid 82,0
|
|
optionalChildren [
|
|
*21 (MRCItem
|
|
litem &2
|
|
pos 0
|
|
dimension 20
|
|
uid 83,0
|
|
)
|
|
*22 (MRCItem
|
|
litem &3
|
|
pos 1
|
|
dimension 23
|
|
uid 84,0
|
|
)
|
|
*23 (MRCItem
|
|
litem &4
|
|
pos 2
|
|
hidden 1
|
|
dimension 20
|
|
uid 85,0
|
|
)
|
|
*24 (MRCItem
|
|
litem &14
|
|
pos 0
|
|
dimension 20
|
|
uid 210,0
|
|
)
|
|
*25 (MRCItem
|
|
litem &15
|
|
pos 1
|
|
dimension 20
|
|
uid 212,0
|
|
)
|
|
*26 (MRCItem
|
|
litem &16
|
|
pos 2
|
|
dimension 20
|
|
uid 214,0
|
|
)
|
|
*27 (MRCItem
|
|
litem &17
|
|
pos 3
|
|
dimension 20
|
|
uid 216,0
|
|
)
|
|
*28 (MRCItem
|
|
litem &18
|
|
pos 4
|
|
dimension 20
|
|
uid 296,0
|
|
)
|
|
]
|
|
)
|
|
sheetCol (SheetCol
|
|
propVa (MVa
|
|
cellColor "0,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
textAngle 90
|
|
)
|
|
uid 86,0
|
|
optionalChildren [
|
|
*29 (MRCItem
|
|
litem &5
|
|
pos 0
|
|
dimension 20
|
|
uid 87,0
|
|
)
|
|
*30 (MRCItem
|
|
litem &7
|
|
pos 1
|
|
dimension 50
|
|
uid 88,0
|
|
)
|
|
*31 (MRCItem
|
|
litem &8
|
|
pos 2
|
|
dimension 100
|
|
uid 89,0
|
|
)
|
|
*32 (MRCItem
|
|
litem &9
|
|
pos 3
|
|
dimension 50
|
|
uid 90,0
|
|
)
|
|
*33 (MRCItem
|
|
litem &10
|
|
pos 4
|
|
dimension 100
|
|
uid 91,0
|
|
)
|
|
*34 (MRCItem
|
|
litem &11
|
|
pos 5
|
|
dimension 100
|
|
uid 92,0
|
|
)
|
|
*35 (MRCItem
|
|
litem &12
|
|
pos 6
|
|
dimension 50
|
|
uid 93,0
|
|
)
|
|
*36 (MRCItem
|
|
litem &13
|
|
pos 7
|
|
dimension 80
|
|
uid 94,0
|
|
)
|
|
]
|
|
)
|
|
fixedCol 4
|
|
fixedRow 2
|
|
name "Ports"
|
|
uid 81,0
|
|
vaOverrides [
|
|
]
|
|
)
|
|
]
|
|
)
|
|
uid 66,0
|
|
)
|
|
genericsCommonDM (CommonDM
|
|
ldm (LogicalDM
|
|
emptyRow *37 (LEmptyRow
|
|
)
|
|
uid 96,0
|
|
optionalChildren [
|
|
*38 (RefLabelRowHdr
|
|
)
|
|
*39 (TitleRowHdr
|
|
)
|
|
*40 (FilterRowHdr
|
|
)
|
|
*41 (RefLabelColHdr
|
|
tm "RefLabelColHdrMgr"
|
|
)
|
|
*42 (RowExpandColHdr
|
|
tm "RowExpandColHdrMgr"
|
|
)
|
|
*43 (GroupColHdr
|
|
tm "GroupColHdrMgr"
|
|
)
|
|
*44 (NameColHdr
|
|
tm "GenericNameColHdrMgr"
|
|
)
|
|
*45 (TypeColHdr
|
|
tm "GenericTypeColHdrMgr"
|
|
)
|
|
*46 (InitColHdr
|
|
tm "GenericValueColHdrMgr"
|
|
)
|
|
*47 (PragmaColHdr
|
|
tm "GenericPragmaColHdrMgr"
|
|
)
|
|
*48 (EolColHdr
|
|
tm "GenericEolColHdrMgr"
|
|
)
|
|
*49 (LogGeneric
|
|
generic (GiElement
|
|
name "delayCounterBitNb"
|
|
type "positive"
|
|
value "12"
|
|
)
|
|
uid 240,0
|
|
)
|
|
*50 (LogGeneric
|
|
generic (GiElement
|
|
name "refreshPeriodNb"
|
|
type "positive"
|
|
value "1024"
|
|
)
|
|
uid 242,0
|
|
)
|
|
]
|
|
)
|
|
pdm (PhysicalDM
|
|
displayShortBounds 1
|
|
editShortBounds 1
|
|
uid 108,0
|
|
optionalChildren [
|
|
*51 (Sheet
|
|
sheetRow (SheetRow
|
|
headerVa (MVa
|
|
cellColor "49152,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
cellVa (MVa
|
|
cellColor "65535,65535,65535"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
groupVa (MVa
|
|
cellColor "39936,56832,65280"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
emptyMRCItem *52 (MRCItem
|
|
litem &37
|
|
pos 2
|
|
dimension 20
|
|
)
|
|
uid 110,0
|
|
optionalChildren [
|
|
*53 (MRCItem
|
|
litem &38
|
|
pos 0
|
|
dimension 20
|
|
uid 111,0
|
|
)
|
|
*54 (MRCItem
|
|
litem &39
|
|
pos 1
|
|
dimension 23
|
|
uid 112,0
|
|
)
|
|
*55 (MRCItem
|
|
litem &40
|
|
pos 2
|
|
hidden 1
|
|
dimension 20
|
|
uid 113,0
|
|
)
|
|
*56 (MRCItem
|
|
litem &49
|
|
pos 0
|
|
dimension 20
|
|
uid 241,0
|
|
)
|
|
*57 (MRCItem
|
|
litem &50
|
|
pos 1
|
|
dimension 20
|
|
uid 243,0
|
|
)
|
|
]
|
|
)
|
|
sheetCol (SheetCol
|
|
propVa (MVa
|
|
cellColor "0,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
textAngle 90
|
|
)
|
|
uid 114,0
|
|
optionalChildren [
|
|
*58 (MRCItem
|
|
litem &41
|
|
pos 0
|
|
dimension 20
|
|
uid 115,0
|
|
)
|
|
*59 (MRCItem
|
|
litem &43
|
|
pos 1
|
|
dimension 50
|
|
uid 116,0
|
|
)
|
|
*60 (MRCItem
|
|
litem &44
|
|
pos 2
|
|
dimension 100
|
|
uid 117,0
|
|
)
|
|
*61 (MRCItem
|
|
litem &45
|
|
pos 3
|
|
dimension 100
|
|
uid 118,0
|
|
)
|
|
*62 (MRCItem
|
|
litem &46
|
|
pos 4
|
|
dimension 50
|
|
uid 119,0
|
|
)
|
|
*63 (MRCItem
|
|
litem &47
|
|
pos 5
|
|
dimension 50
|
|
uid 120,0
|
|
)
|
|
*64 (MRCItem
|
|
litem &48
|
|
pos 6
|
|
dimension 80
|
|
uid 121,0
|
|
)
|
|
]
|
|
)
|
|
fixedCol 3
|
|
fixedRow 2
|
|
name "Ports"
|
|
uid 109,0
|
|
vaOverrides [
|
|
]
|
|
)
|
|
]
|
|
)
|
|
uid 95,0
|
|
type 1
|
|
)
|
|
VExpander (VariableExpander
|
|
vvMap [
|
|
(vvPair
|
|
variable "HDLDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl"
|
|
)
|
|
(vvPair
|
|
variable "HDSDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds"
|
|
)
|
|
(vvPair
|
|
variable "SideDataDesignDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.info"
|
|
)
|
|
(vvPair
|
|
variable "SideDataUserDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.user"
|
|
)
|
|
(vvPair
|
|
variable "SourceDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds"
|
|
)
|
|
(vvPair
|
|
variable "appl"
|
|
value "HDL Designer"
|
|
)
|
|
(vvPair
|
|
variable "arch_name"
|
|
value "symbol"
|
|
)
|
|
(vvPair
|
|
variable "concat_file"
|
|
value "concatenated"
|
|
)
|
|
(vvPair
|
|
variable "config"
|
|
value "%(unit)_%(view)_config"
|
|
)
|
|
(vvPair
|
|
variable "d"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter"
|
|
)
|
|
(vvPair
|
|
variable "d_logical"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter"
|
|
)
|
|
(vvPair
|
|
variable "date"
|
|
value "08/28/19"
|
|
)
|
|
(vvPair
|
|
variable "day"
|
|
value "Wed"
|
|
)
|
|
(vvPair
|
|
variable "day_long"
|
|
value "Wednesday"
|
|
)
|
|
(vvPair
|
|
variable "dd"
|
|
value "28"
|
|
)
|
|
(vvPair
|
|
variable "entity_name"
|
|
value "sdramControllerRefreshCounter"
|
|
)
|
|
(vvPair
|
|
variable "ext"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "f"
|
|
value "symbol.sb"
|
|
)
|
|
(vvPair
|
|
variable "f_logical"
|
|
value "symbol.sb"
|
|
)
|
|
(vvPair
|
|
variable "f_noext"
|
|
value "symbol"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_author"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_date"
|
|
value "08/28/19"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_group"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_host"
|
|
value "Aphelia"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_time"
|
|
value "13:45:13"
|
|
)
|
|
(vvPair
|
|
variable "group"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "host"
|
|
value "Aphelia"
|
|
)
|
|
(vvPair
|
|
variable "language"
|
|
value "VHDL"
|
|
)
|
|
(vvPair
|
|
variable "library"
|
|
value "Memory"
|
|
)
|
|
(vvPair
|
|
variable "library_downstream_ModelSimCompiler"
|
|
value "$SCRATCH_DIR/Libs/Memory/work"
|
|
)
|
|
(vvPair
|
|
variable "mm"
|
|
value "08"
|
|
)
|
|
(vvPair
|
|
variable "module_name"
|
|
value "sdramControllerRefreshCounter"
|
|
)
|
|
(vvPair
|
|
variable "month"
|
|
value "Aug"
|
|
)
|
|
(vvPair
|
|
variable "month_long"
|
|
value "August"
|
|
)
|
|
(vvPair
|
|
variable "p"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb"
|
|
)
|
|
(vvPair
|
|
variable "p_logical"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter/symbol.sb"
|
|
)
|
|
(vvPair
|
|
variable "package_name"
|
|
value "<Undefined Variable>"
|
|
)
|
|
(vvPair
|
|
variable "project_name"
|
|
value "hds"
|
|
)
|
|
(vvPair
|
|
variable "series"
|
|
value "HDL Designer Series"
|
|
)
|
|
(vvPair
|
|
variable "task_DesignCompilerPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_ISEPath"
|
|
value "D:\\Labs\\ElN\\BoardTester\\Board\\ise"
|
|
)
|
|
(vvPair
|
|
variable "task_LeonardoPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_ModelSimPath"
|
|
value "C:\\EDA\\Modelsim\\win32"
|
|
)
|
|
(vvPair
|
|
variable "task_NC-SimPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_PrecisionRTLPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_QuestaSimPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_VCSPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "this_ext"
|
|
value "sb"
|
|
)
|
|
(vvPair
|
|
variable "this_file"
|
|
value "symbol"
|
|
)
|
|
(vvPair
|
|
variable "this_file_logical"
|
|
value "symbol"
|
|
)
|
|
(vvPair
|
|
variable "time"
|
|
value "13:45:13"
|
|
)
|
|
(vvPair
|
|
variable "unit"
|
|
value "sdramControllerRefreshCounter"
|
|
)
|
|
(vvPair
|
|
variable "user"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "version"
|
|
value "2018.1 (Build 12)"
|
|
)
|
|
(vvPair
|
|
variable "view"
|
|
value "symbol"
|
|
)
|
|
(vvPair
|
|
variable "year"
|
|
value "2019"
|
|
)
|
|
(vvPair
|
|
variable "yy"
|
|
value "19"
|
|
)
|
|
]
|
|
)
|
|
LanguageMgr "Vhdl2008LangMgr"
|
|
uid 65,0
|
|
optionalChildren [
|
|
*65 (SymbolBody
|
|
uid 8,0
|
|
optionalChildren [
|
|
*66 (CptPort
|
|
uid 189,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 190,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "31250,19625,32000,20375"
|
|
)
|
|
tg (CPTG
|
|
uid 191,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 192,0
|
|
va (VaSet
|
|
)
|
|
xt "33000,19500,35100,20500"
|
|
st "clock"
|
|
blo "33000,20300"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
uid 193,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,11800,20500,12700"
|
|
st "clock : IN std_ulogic ;"
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "clock"
|
|
t "std_ulogic"
|
|
o 1
|
|
suid 6,0
|
|
)
|
|
)
|
|
)
|
|
*67 (CptPort
|
|
uid 194,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 195,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "48000,15625,48750,16375"
|
|
)
|
|
tg (CPTG
|
|
uid 196,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "RightVerticalLayoutStrategy"
|
|
f (Text
|
|
uid 197,0
|
|
va (VaSet
|
|
)
|
|
xt "39500,15500,47000,16500"
|
|
st "endOfRefreshCount"
|
|
ju 2
|
|
blo "47000,16300"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
uid 198,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,14500,20500,15400"
|
|
st "endOfRefreshCount : OUT std_ulogic ;"
|
|
)
|
|
thePort (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "endOfRefreshCount"
|
|
t "std_ulogic"
|
|
o 22
|
|
suid 7,0
|
|
)
|
|
)
|
|
)
|
|
*68 (CptPort
|
|
uid 199,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 200,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "31250,15625,32000,16375"
|
|
)
|
|
tg (CPTG
|
|
uid 201,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 202,0
|
|
va (VaSet
|
|
)
|
|
xt "33000,15500,38600,16500"
|
|
st "powerUpDone"
|
|
blo "33000,16300"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
uid 203,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,12700,20500,13600"
|
|
st "powerUpDone : IN std_ulogic ;"
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "powerUpDone"
|
|
t "std_ulogic"
|
|
o 21
|
|
suid 8,0
|
|
)
|
|
)
|
|
)
|
|
*69 (CptPort
|
|
uid 204,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 205,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "31250,21625,32000,22375"
|
|
)
|
|
tg (CPTG
|
|
uid 206,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 207,0
|
|
va (VaSet
|
|
)
|
|
xt "33000,21500,35100,22500"
|
|
st "reset"
|
|
blo "33000,22300"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
uid 208,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,13600,20500,14500"
|
|
st "reset : IN std_ulogic ;"
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "reset"
|
|
t "std_ulogic"
|
|
o 8
|
|
suid 9,0
|
|
)
|
|
)
|
|
)
|
|
*70 (CptPort
|
|
uid 290,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 291,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "48000,17625,48750,18375"
|
|
)
|
|
tg (CPTG
|
|
uid 292,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "RightVerticalLayoutStrategy"
|
|
f (Text
|
|
uid 293,0
|
|
va (VaSet
|
|
)
|
|
xt "41500,17500,47000,18500"
|
|
st "selectRefresh"
|
|
ju 2
|
|
blo "47000,18300"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
uid 294,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,15400,19500,16300"
|
|
st "selectRefresh : OUT std_ulogic "
|
|
)
|
|
thePort (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "selectRefresh"
|
|
t "std_ulogic"
|
|
o 5
|
|
suid 10,0
|
|
)
|
|
)
|
|
)
|
|
]
|
|
shape (Rectangle
|
|
uid 9,0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
lineColor "0,32896,0"
|
|
lineWidth 2
|
|
)
|
|
xt "32000,12000,48000,24000"
|
|
)
|
|
oxt "15000,6000,31000,16000"
|
|
biTextGroup (BiTextGroup
|
|
uid 10,0
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
first (Text
|
|
uid 11,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "32350,24000,35350,24900"
|
|
st "Memory"
|
|
blo "32350,24700"
|
|
)
|
|
second (Text
|
|
uid 12,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "32350,24900,47350,25800"
|
|
st "sdramControllerRefreshCounter"
|
|
blo "32350,25600"
|
|
)
|
|
)
|
|
gi *71 (GenericInterface
|
|
uid 13,0
|
|
ps "CenterOffsetStrategy"
|
|
matrix (Matrix
|
|
uid 14,0
|
|
text (MLText
|
|
uid 15,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "32000,27200,49000,30800"
|
|
st "Generic Declarations
|
|
|
|
delayCounterBitNb positive 12
|
|
refreshPeriodNb positive 1024 "
|
|
)
|
|
header "Generic Declarations"
|
|
showHdrWhenContentsEmpty 1
|
|
)
|
|
elements [
|
|
(GiElement
|
|
name "delayCounterBitNb"
|
|
type "positive"
|
|
value "12"
|
|
)
|
|
(GiElement
|
|
name "refreshPeriodNb"
|
|
type "positive"
|
|
value "1024"
|
|
)
|
|
]
|
|
)
|
|
portInstanceVisAsIs 1
|
|
portInstanceVis (PortSigDisplay
|
|
sTC 0
|
|
sF 0
|
|
)
|
|
portVis (PortSigDisplay
|
|
sTC 0
|
|
sF 0
|
|
)
|
|
)
|
|
*72 (Grouping
|
|
uid 16,0
|
|
optionalChildren [
|
|
*73 (CommentText
|
|
uid 18,0
|
|
shape (Rectangle
|
|
uid 19,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "34000,48000,51000,49000"
|
|
)
|
|
oxt "18000,70000,35000,71000"
|
|
text (MLText
|
|
uid 20,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "34200,48000,48000,49000"
|
|
st "
|
|
by %user on %dd %month %year
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 17000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*74 (CommentText
|
|
uid 21,0
|
|
shape (Rectangle
|
|
uid 22,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "51000,44000,55000,45000"
|
|
)
|
|
oxt "35000,66000,39000,67000"
|
|
text (MLText
|
|
uid 23,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "51200,44000,54800,45000"
|
|
st "
|
|
Project:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 4000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*75 (CommentText
|
|
uid 24,0
|
|
shape (Rectangle
|
|
uid 25,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "34000,46000,51000,47000"
|
|
)
|
|
oxt "18000,68000,35000,69000"
|
|
text (MLText
|
|
uid 26,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "34200,46000,50400,47000"
|
|
st "
|
|
<enter diagram title here>
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 17000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*76 (CommentText
|
|
uid 27,0
|
|
shape (Rectangle
|
|
uid 28,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "30000,46000,34000,47000"
|
|
)
|
|
oxt "14000,68000,18000,69000"
|
|
text (MLText
|
|
uid 29,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "30200,46000,33800,47000"
|
|
st "
|
|
Title:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 4000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*77 (CommentText
|
|
uid 30,0
|
|
shape (Rectangle
|
|
uid 31,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "51000,45000,71000,49000"
|
|
)
|
|
oxt "35000,67000,55000,71000"
|
|
text (MLText
|
|
uid 32,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "51200,45200,64400,46200"
|
|
st "
|
|
<enter comments here>
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 4000
|
|
visibleWidth 20000
|
|
)
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*78 (CommentText
|
|
uid 33,0
|
|
shape (Rectangle
|
|
uid 34,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "55000,44000,71000,45000"
|
|
)
|
|
oxt "39000,66000,55000,67000"
|
|
text (MLText
|
|
uid 35,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "55200,44000,57000,45000"
|
|
st "
|
|
%project_name
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 16000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*79 (CommentText
|
|
uid 36,0
|
|
shape (Rectangle
|
|
uid 37,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "30000,44000,51000,46000"
|
|
)
|
|
oxt "14000,66000,35000,68000"
|
|
text (MLText
|
|
uid 38,0
|
|
va (VaSet
|
|
fg "32768,0,0"
|
|
)
|
|
xt "36000,44500,45000,45500"
|
|
st "
|
|
<company name>
|
|
"
|
|
ju 0
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 2000
|
|
visibleWidth 21000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*80 (CommentText
|
|
uid 39,0
|
|
shape (Rectangle
|
|
uid 40,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "30000,47000,34000,48000"
|
|
)
|
|
oxt "14000,69000,18000,70000"
|
|
text (MLText
|
|
uid 41,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "30200,47000,33200,48000"
|
|
st "
|
|
Path:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 4000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*81 (CommentText
|
|
uid 42,0
|
|
shape (Rectangle
|
|
uid 43,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "30000,48000,34000,49000"
|
|
)
|
|
oxt "14000,70000,18000,71000"
|
|
text (MLText
|
|
uid 44,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "30200,48000,33800,49000"
|
|
st "
|
|
Edited:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 4000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
*82 (CommentText
|
|
uid 45,0
|
|
shape (Rectangle
|
|
uid 46,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "34000,47000,51000,48000"
|
|
)
|
|
oxt "18000,69000,35000,70000"
|
|
text (MLText
|
|
uid 47,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "34200,47000,44400,48000"
|
|
st "
|
|
%library/%unit/%view
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1000
|
|
visibleWidth 17000
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
titleBlock 1
|
|
)
|
|
]
|
|
shape (GroupingShape
|
|
uid 17,0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineStyle 2
|
|
lineWidth 2
|
|
)
|
|
xt "30000,44000,71000,49000"
|
|
)
|
|
oxt "14000,66000,55000,71000"
|
|
)
|
|
]
|
|
bg "65535,65535,65535"
|
|
grid (Grid
|
|
origin "0,0"
|
|
isVisible 1
|
|
isActive 1
|
|
xSpacing 1000
|
|
xySpacing 1000
|
|
xShown 1
|
|
yShown 1
|
|
color "26368,26368,26368"
|
|
)
|
|
packageList *83 (PackageList
|
|
uid 48,0
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*84 (Text
|
|
uid 49,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-2000,0,3400,1000"
|
|
st "Package List"
|
|
blo "-2000,800"
|
|
)
|
|
*85 (MLText
|
|
uid 50,0
|
|
va (VaSet
|
|
)
|
|
xt "-2000,1000,16600,4000"
|
|
st "LIBRARY ieee;
|
|
USE ieee.std_logic_1164.all;
|
|
USE ieee.numeric_std.all;"
|
|
tm "PackageList"
|
|
)
|
|
]
|
|
)
|
|
windowSize "27,64,1389,970"
|
|
viewArea "-3100,-1100,72338,51172"
|
|
cachedDiagramExtent "-2000,0,71000,49000"
|
|
hasePageBreakOrigin 1
|
|
pageBreakOrigin "-2000,0"
|
|
defaultCommentText (CommentText
|
|
shape (Rectangle
|
|
layer 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
lineColor "0,0,32768"
|
|
)
|
|
xt "0,0,15000,5000"
|
|
)
|
|
text (MLText
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
)
|
|
xt "200,200,2600,1200"
|
|
st "
|
|
Text
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 4600
|
|
visibleWidth 14600
|
|
)
|
|
)
|
|
defaultRequirementText (RequirementText
|
|
shape (ZoomableIcon
|
|
layer 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "59904,39936,65280"
|
|
lineColor "0,0,32768"
|
|
)
|
|
xt "0,0,1500,1750"
|
|
iconName "reqTracerRequirement.bmp"
|
|
iconMaskName "reqTracerRequirement.msk"
|
|
)
|
|
autoResize 1
|
|
text (MLText
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
font "courier,8,0"
|
|
)
|
|
xt "450,2150,1450,3050"
|
|
st "
|
|
Text
|
|
"
|
|
tm "RequirementText"
|
|
wrapOption 3
|
|
visibleHeight 1350
|
|
visibleWidth 1100
|
|
)
|
|
)
|
|
defaultPanel (Panel
|
|
shape (RectFrame
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "32768,0,0"
|
|
lineWidth 3
|
|
)
|
|
xt "0,0,20000,20000"
|
|
)
|
|
title (TextAssociate
|
|
ps "TopLeftStrategy"
|
|
text (Text
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "1000,1000,3800,2000"
|
|
st "Panel0"
|
|
blo "1000,1800"
|
|
tm "PanelText"
|
|
)
|
|
)
|
|
)
|
|
parentGraphicsRef (HdmGraphicsRef
|
|
libraryName "memory"
|
|
entityName "sdramController"
|
|
viewName "struct.bd"
|
|
)
|
|
defaultSymbolBody (SymbolBody
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
lineColor "0,32896,0"
|
|
lineWidth 2
|
|
)
|
|
xt "15000,6000,33000,26000"
|
|
)
|
|
biTextGroup (BiTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
first (Text
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "22200,15000,25800,16000"
|
|
st "<library>"
|
|
blo "22200,15800"
|
|
)
|
|
second (Text
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "22200,16000,24800,17000"
|
|
st "<cell>"
|
|
blo "22200,16800"
|
|
)
|
|
)
|
|
gi *86 (GenericInterface
|
|
ps "CenterOffsetStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,12000,10500,12900"
|
|
st "Generic Declarations"
|
|
)
|
|
header "Generic Declarations"
|
|
showHdrWhenContentsEmpty 1
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
portInstanceVisAsIs 1
|
|
portInstanceVis (PortSigDisplay
|
|
sIVOD 1
|
|
)
|
|
portVis (PortSigDisplay
|
|
sIVOD 1
|
|
)
|
|
)
|
|
defaultCptPort (CptPort
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "0,0,750,750"
|
|
)
|
|
tg (CPTG
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,750,1500,1650"
|
|
st "In0"
|
|
blo "0,1450"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
lang 11
|
|
decl (Decl
|
|
n "In0"
|
|
t "std_logic_vector"
|
|
b "(15 DOWNTO 0)"
|
|
o 0
|
|
)
|
|
)
|
|
)
|
|
defaultCptPortBuffer (CptPort
|
|
ps "OnEdgeStrategy"
|
|
shape (Diamond
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
bg "0,0,0"
|
|
)
|
|
xt "0,0,750,750"
|
|
)
|
|
tg (CPTG
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,750,3500,1650"
|
|
st "Buffer0"
|
|
blo "0,1450"
|
|
tm "CptPortNameMgr"
|
|
)
|
|
)
|
|
dt (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
lang 11
|
|
m 3
|
|
decl (Decl
|
|
n "Buffer0"
|
|
t "std_logic_vector"
|
|
b "(15 DOWNTO 0)"
|
|
o 0
|
|
)
|
|
)
|
|
)
|
|
DeclarativeBlock *87 (SymDeclBlock
|
|
uid 1,0
|
|
stg "SymDeclLayoutStrategy"
|
|
declLabel (Text
|
|
uid 2,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-2000,9800,3400,10800"
|
|
st "Declarations"
|
|
blo "-2000,10600"
|
|
)
|
|
portLabel (Text
|
|
uid 3,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-2000,10800,700,11800"
|
|
st "Ports:"
|
|
blo "-2000,11600"
|
|
)
|
|
externalLabel (Text
|
|
uid 4,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-2000,16300,500,17200"
|
|
st "User:"
|
|
blo "-2000,17000"
|
|
)
|
|
internalLabel (Text
|
|
uid 6,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,8,1"
|
|
)
|
|
xt "-2000,9800,3800,10800"
|
|
st "Internal User:"
|
|
blo "-2000,10600"
|
|
)
|
|
externalText (MLText
|
|
uid 5,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,17200,0,17200"
|
|
tm "SyDeclarativeTextMgr"
|
|
)
|
|
internalText (MLText
|
|
uid 7,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,8,0"
|
|
)
|
|
xt "-2000,9800,-2000,9800"
|
|
tm "SyDeclarativeTextMgr"
|
|
)
|
|
)
|
|
lastUid 319,0
|
|
activeModelName "Symbol"
|
|
)
|