1
0
mirror of https://github.com/Klagarge/Cursor.git synced 2025-12-16 00:23:06 +00:00
Files
Cursor/Cursor_test/hds/cursor_tester/interface
Rémi Heredero c7ba678fbb Initial commit
2021-11-24 10:50:51 +01:00

2254 lines
27 KiB
Plaintext

DocumentHdrVersion "1.1"
Header (DocumentHdr
version 2
dmPackageRefs [
(DmPackageRef
library "ieee"
unitName "std_logic_1164"
)
(DmPackageRef
library "ieee"
unitName "numeric_std"
)
]
libraryRefs [
"ieee"
]
)
version "27.1"
appVersion "2019.2 (Build 5)"
model (Symbol
commonDM (CommonDM
ldm (LogicalDM
suid 2090,0
usingSuid 1
emptyRow *1 (LEmptyRow
)
uid 370,0
optionalChildren [
*2 (RefLabelRowHdr
)
*3 (TitleRowHdr
)
*4 (FilterRowHdr
)
*5 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*6 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*7 (GroupColHdr
tm "GroupColHdrMgr"
)
*8 (NameColHdr
tm "NameColHdrMgr"
)
*9 (ModeColHdr
tm "ModeColHdrMgr"
)
*10 (TypeColHdr
tm "TypeColHdrMgr"
)
*11 (BoundsColHdr
tm "BoundsColHdrMgr"
)
*12 (InitColHdr
tm "InitColHdrMgr"
)
*13 (EolColHdr
tm "EolColHdrMgr"
)
*14 (LogPort
port (LogicalPort
m 1
decl (Decl
n "button4"
t "std_uLogic"
o 1
suid 2076,0
)
)
uid 977,0
)
*15 (LogPort
port (LogicalPort
m 1
decl (Decl
n "clock"
t "std_ulogic"
o 2
suid 2077,0
)
)
uid 979,0
)
*16 (LogPort
port (LogicalPort
m 1
decl (Decl
n "encoderA"
t "std_uLogic"
o 3
suid 2078,0
)
)
uid 981,0
)
*17 (LogPort
port (LogicalPort
m 1
decl (Decl
n "encoderB"
t "std_uLogic"
o 4
suid 2079,0
)
)
uid 983,0
)
*18 (LogPort
port (LogicalPort
m 1
decl (Decl
n "encoderI"
t "std_uLogic"
o 5
suid 2080,0
)
)
uid 985,0
)
*19 (LogPort
port (LogicalPort
m 1
decl (Decl
n "go1"
t "std_uLogic"
o 6
suid 2081,0
)
)
uid 987,0
)
*20 (LogPort
port (LogicalPort
m 1
decl (Decl
n "go2"
t "std_uLogic"
o 7
suid 2082,0
)
)
uid 989,0
)
*21 (LogPort
port (LogicalPort
decl (Decl
n "motorOn"
t "std_uLogic"
o 8
suid 2083,0
)
)
uid 991,0
)
*22 (LogPort
port (LogicalPort
m 1
decl (Decl
n "reset"
t "std_ulogic"
o 9
suid 2084,0
)
)
uid 993,0
)
*23 (LogPort
port (LogicalPort
m 1
decl (Decl
n "restart"
t "std_uLogic"
o 10
suid 2085,0
)
)
uid 995,0
)
*24 (LogPort
port (LogicalPort
m 1
decl (Decl
n "sensor1"
t "std_uLogic"
o 11
suid 2086,0
)
)
uid 997,0
)
*25 (LogPort
port (LogicalPort
m 1
decl (Decl
n "sensor2"
t "std_uLogic"
o 12
suid 2087,0
)
)
uid 999,0
)
*26 (LogPort
port (LogicalPort
decl (Decl
n "side1"
t "std_uLogic"
o 13
suid 2088,0
)
)
uid 1001,0
)
*27 (LogPort
port (LogicalPort
decl (Decl
n "side2"
t "std_uLogic"
o 14
suid 2089,0
)
)
uid 1003,0
)
*28 (LogPort
port (LogicalPort
m 1
decl (Decl
n "testMode"
t "std_uLogic"
o 15
suid 2090,0
)
)
uid 1005,0
)
]
)
pdm (PhysicalDM
uid 386,0
optionalChildren [
*29 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *30 (MRCItem
litem &1
pos 3
dimension 20
)
uid 303,0
optionalChildren [
*31 (MRCItem
litem &2
pos 0
dimension 20
uid 306,0
)
*32 (MRCItem
litem &3
pos 1
dimension 23
uid 308,0
)
*33 (MRCItem
litem &4
pos 2
hidden 1
dimension 20
uid 310,0
)
*34 (MRCItem
litem &14
pos 0
dimension 20
uid 978,0
)
*35 (MRCItem
litem &15
pos 1
dimension 20
uid 980,0
)
*36 (MRCItem
litem &16
pos 2
dimension 20
uid 982,0
)
*37 (MRCItem
litem &17
pos 3
dimension 20
uid 984,0
)
*38 (MRCItem
litem &18
pos 4
dimension 20
uid 986,0
)
*39 (MRCItem
litem &19
pos 5
dimension 20
uid 988,0
)
*40 (MRCItem
litem &20
pos 6
dimension 20
uid 990,0
)
*41 (MRCItem
litem &21
pos 7
dimension 20
uid 992,0
)
*42 (MRCItem
litem &22
pos 8
dimension 20
uid 994,0
)
*43 (MRCItem
litem &23
pos 9
dimension 20
uid 996,0
)
*44 (MRCItem
litem &24
pos 10
dimension 20
uid 998,0
)
*45 (MRCItem
litem &25
pos 11
dimension 20
uid 1000,0
)
*46 (MRCItem
litem &26
pos 12
dimension 20
uid 1002,0
)
*47 (MRCItem
litem &27
pos 13
dimension 20
uid 1004,0
)
*48 (MRCItem
litem &28
pos 14
dimension 20
uid 1006,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 304,0
optionalChildren [
*49 (MRCItem
litem &5
pos 0
dimension 20
uid 312,0
)
*50 (MRCItem
litem &7
pos 1
dimension 50
uid 316,0
)
*51 (MRCItem
litem &8
pos 2
dimension 100
uid 318,0
)
*52 (MRCItem
litem &9
pos 3
dimension 50
uid 320,0
)
*53 (MRCItem
litem &10
pos 4
dimension 100
uid 322,0
)
*54 (MRCItem
litem &11
pos 5
dimension 100
uid 324,0
)
*55 (MRCItem
litem &12
pos 6
dimension 50
uid 326,0
)
*56 (MRCItem
litem &13
pos 7
dimension 80
uid 328,0
)
]
)
fixedCol 4
fixedRow 2
name "Ports"
uid 302,0
vaOverrides [
]
)
]
)
uid 369,0
)
genericsCommonDM (CommonDM
ldm (LogicalDM
emptyRow *57 (LEmptyRow
)
uid 388,0
optionalChildren [
*58 (RefLabelRowHdr
)
*59 (TitleRowHdr
)
*60 (FilterRowHdr
)
*61 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*62 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*63 (GroupColHdr
tm "GroupColHdrMgr"
)
*64 (NameColHdr
tm "GenericNameColHdrMgr"
)
*65 (TypeColHdr
tm "GenericTypeColHdrMgr"
)
*66 (InitColHdr
tm "GenericValueColHdrMgr"
)
*67 (PragmaColHdr
tm "GenericPragmaColHdrMgr"
)
*68 (EolColHdr
tm "GenericEolColHdrMgr"
)
]
)
pdm (PhysicalDM
uid 389,0
optionalChildren [
*69 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *70 (MRCItem
litem &57
pos 3
dimension 20
)
uid 345,0
optionalChildren [
*71 (MRCItem
litem &58
pos 0
dimension 20
uid 348,0
)
*72 (MRCItem
litem &59
pos 1
dimension 23
uid 350,0
)
*73 (MRCItem
litem &60
pos 2
hidden 1
dimension 20
uid 352,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 346,0
optionalChildren [
*74 (MRCItem
litem &61
pos 0
dimension 20
uid 354,0
)
*75 (MRCItem
litem &63
pos 1
dimension 50
uid 358,0
)
*76 (MRCItem
litem &64
pos 2
dimension 100
uid 360,0
)
*77 (MRCItem
litem &65
pos 3
dimension 100
uid 362,0
)
*78 (MRCItem
litem &66
pos 4
dimension 50
uid 364,0
)
*79 (MRCItem
litem &67
pos 5
dimension 50
uid 366,0
)
*80 (MRCItem
litem &68
pos 6
dimension 80
uid 368,0
)
]
)
fixedCol 3
fixedRow 2
name "Ports"
uid 344,0
vaOverrides [
]
)
]
)
uid 387,0
type 1
)
VExpander (VariableExpander
vvMap [
(vvPair
variable " "
value " "
)
(vvPair
variable "HDLDir"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl"
)
(vvPair
variable "HDSDir"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds"
)
(vvPair
variable "SideDataDesignDir"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.info"
)
(vvPair
variable "SideDataUserDir"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.user"
)
(vvPair
variable "SourceDir"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds"
)
(vvPair
variable "appl"
value "HDL Designer"
)
(vvPair
variable "arch_name"
value "interface"
)
(vvPair
variable "concat_file"
value "concatenated"
)
(vvPair
variable "config"
value "%(unit)_%(view)_config"
)
(vvPair
variable "d"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester"
)
(vvPair
variable "d_logical"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester"
)
(vvPair
variable "date"
value "11.11.2019"
)
(vvPair
variable "day"
value "Mon"
)
(vvPair
variable "day_long"
value "Monday"
)
(vvPair
variable "dd"
value "11"
)
(vvPair
variable "designName"
value "$DESIGN_NAME"
)
(vvPair
variable "entity_name"
value "cursor_tester"
)
(vvPair
variable "ext"
value "<TBD>"
)
(vvPair
variable "f"
value "interface"
)
(vvPair
variable "f_logical"
value "interface"
)
(vvPair
variable "f_noext"
value "interface"
)
(vvPair
variable "graphical_source_author"
value "silvan.zahno"
)
(vvPair
variable "graphical_source_date"
value "11.11.2019"
)
(vvPair
variable "graphical_source_group"
value "UNKNOWN"
)
(vvPair
variable "graphical_source_host"
value "WE6996"
)
(vvPair
variable "graphical_source_time"
value "08:13:23"
)
(vvPair
variable "group"
value "UNKNOWN"
)
(vvPair
variable "host"
value "WE6996"
)
(vvPair
variable "language"
value "VHDL"
)
(vvPair
variable "library"
value "Cursor_test"
)
(vvPair
variable "library_downstream_ModelSim"
value "D:\\Users\\ELN_labs\\VHDL_comp"
)
(vvPair
variable "library_downstream_ModelSimCompiler"
value "$SCRATCH_DIR/Cursor_test/work"
)
(vvPair
variable "mm"
value "11"
)
(vvPair
variable "module_name"
value "cursor_tester"
)
(vvPair
variable "month"
value "Nov"
)
(vvPair
variable "month_long"
value "November"
)
(vvPair
variable "p"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface"
)
(vvPair
variable "p_logical"
value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface"
)
(vvPair
variable "package_name"
value "<Undefined Variable>"
)
(vvPair
variable "project_name"
value "hds"
)
(vvPair
variable "series"
value "HDL Designer Series"
)
(vvPair
variable "task_ADMS"
value "<TBD>"
)
(vvPair
variable "task_DesignCompilerPath"
value "<TBD>"
)
(vvPair
variable "task_HDSPath"
value "$HDS_HOME"
)
(vvPair
variable "task_ISEBinPath"
value "$ISE_HOME"
)
(vvPair
variable "task_ISEPath"
value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR"
)
(vvPair
variable "task_LeonardoPath"
value "<TBD>"
)
(vvPair
variable "task_ModelSimPath"
value "/usr/opt/Modelsim/modeltech/bin"
)
(vvPair
variable "task_NC"
value "<TBD>"
)
(vvPair
variable "task_NC-SimPath"
value "<TBD>"
)
(vvPair
variable "task_PrecisionRTLPath"
value "<TBD>"
)
(vvPair
variable "task_QuestaSimPath"
value "<TBD>"
)
(vvPair
variable "task_VCSPath"
value "<TBD>"
)
(vvPair
variable "this_ext"
value "<TBD>"
)
(vvPair
variable "this_file"
value "interface"
)
(vvPair
variable "this_file_logical"
value "interface"
)
(vvPair
variable "time"
value "08:13:23"
)
(vvPair
variable "unit"
value "cursor_tester"
)
(vvPair
variable "user"
value "silvan.zahno"
)
(vvPair
variable "version"
value "2019.2 (Build 5)"
)
(vvPair
variable "view"
value "interface"
)
(vvPair
variable "year"
value "2019"
)
(vvPair
variable "yy"
value "19"
)
]
)
LanguageMgr "VhdlLangMgr"
uid 106,0
optionalChildren [
*81 (SymbolBody
uid 8,0
optionalChildren [
*82 (CptPort
uid 902,0
ps "OnEdgeStrategy"
shape (Triangle
uid 903,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "28625,5250,29375,6000"
)
tg (CPTG
uid 904,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 905,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "28300,7000,29700,12800"
st "button4"
ju 2
blo "29500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 906,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,4200,61000,5000"
st "button4 : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "button4"
t "std_uLogic"
o 1
suid 2076,0
)
)
)
*83 (CptPort
uid 907,0
ps "OnEdgeStrategy"
shape (Triangle
uid 908,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "34625,5250,35375,6000"
)
tg (CPTG
uid 909,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 910,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "34300,7000,35700,10800"
st "clock"
ju 2
blo "35500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 911,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,5000,61000,5800"
st "clock : OUT std_ulogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "clock"
t "std_ulogic"
o 2
suid 2077,0
)
)
)
*84 (CptPort
uid 912,0
ps "OnEdgeStrategy"
shape (Triangle
uid 913,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "64625,5250,65375,6000"
)
tg (CPTG
uid 914,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 915,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "64300,7000,65700,13700"
st "encoderA"
ju 2
blo "65500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 916,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,5800,61000,6600"
st "encoderA : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "encoderA"
t "std_uLogic"
o 3
suid 2078,0
)
)
)
*85 (CptPort
uid 917,0
ps "OnEdgeStrategy"
shape (Triangle
uid 918,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "62625,5250,63375,6000"
)
tg (CPTG
uid 919,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 920,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "62300,7000,63700,13700"
st "encoderB"
ju 2
blo "63500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 921,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,6600,61000,7400"
st "encoderB : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "encoderB"
t "std_uLogic"
o 4
suid 2079,0
)
)
)
*86 (CptPort
uid 922,0
ps "OnEdgeStrategy"
shape (Triangle
uid 923,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "60625,5250,61375,6000"
)
tg (CPTG
uid 924,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 925,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "60300,7000,61700,13400"
st "encoderI"
ju 2
blo "61500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 926,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,7400,61000,8200"
st "encoderI : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "encoderI"
t "std_uLogic"
o 5
suid 2080,0
)
)
)
*87 (CptPort
uid 927,0
ps "OnEdgeStrategy"
shape (Triangle
uid 928,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "24625,5250,25375,6000"
)
tg (CPTG
uid 929,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 930,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "24300,7000,25700,10200"
st "go1"
ju 2
blo "25500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 931,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,8200,61000,9000"
st "go1 : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "go1"
t "std_uLogic"
o 6
suid 2081,0
)
)
)
*88 (CptPort
uid 932,0
ps "OnEdgeStrategy"
shape (Triangle
uid 933,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "26625,5250,27375,6000"
)
tg (CPTG
uid 934,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 935,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "26300,7000,27700,10200"
st "go2"
ju 2
blo "27500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 936,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,9000,61000,9800"
st "go2 : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "go2"
t "std_uLogic"
o 7
suid 2082,0
)
)
)
*89 (CptPort
uid 937,0
ps "OnEdgeStrategy"
shape (Triangle
uid 938,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "78625,5250,79375,6000"
)
tg (CPTG
uid 939,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 940,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "78300,7000,79700,13300"
st "motorOn"
ju 2
blo "79500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 941,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,1800,61000,2600"
st "motorOn : IN std_uLogic ;
"
)
thePort (LogicalPort
decl (Decl
n "motorOn"
t "std_uLogic"
o 8
suid 2083,0
)
)
)
*90 (CptPort
uid 942,0
ps "OnEdgeStrategy"
shape (Triangle
uid 943,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "36625,5250,37375,6000"
)
tg (CPTG
uid 944,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 945,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "36300,7000,37700,11100"
st "reset"
ju 2
blo "37500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 946,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,9800,61000,10600"
st "reset : OUT std_ulogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "reset"
t "std_ulogic"
o 9
suid 2084,0
)
)
)
*91 (CptPort
uid 947,0
ps "OnEdgeStrategy"
shape (Triangle
uid 948,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "22625,5250,23375,6000"
)
tg (CPTG
uid 949,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 950,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "22300,7000,23700,12100"
st "restart"
ju 2
blo "23500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 951,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,10600,61000,11400"
st "restart : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "restart"
t "std_uLogic"
o 10
suid 2085,0
)
)
)
*92 (CptPort
uid 952,0
ps "OnEdgeStrategy"
shape (Triangle
uid 953,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "70625,5250,71375,6000"
)
tg (CPTG
uid 954,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 955,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "70300,7000,71700,12900"
st "sensor1"
ju 2
blo "71500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 956,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,11400,61000,12200"
st "sensor1 : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "sensor1"
t "std_uLogic"
o 11
suid 2086,0
)
)
)
*93 (CptPort
uid 957,0
ps "OnEdgeStrategy"
shape (Triangle
uid 958,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "68625,5250,69375,6000"
)
tg (CPTG
uid 959,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 960,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "68300,7000,69700,12900"
st "sensor2"
ju 2
blo "69500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 961,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,12200,61000,13000"
st "sensor2 : OUT std_uLogic ;
"
)
thePort (LogicalPort
m 1
decl (Decl
n "sensor2"
t "std_uLogic"
o 12
suid 2087,0
)
)
)
*94 (CptPort
uid 962,0
ps "OnEdgeStrategy"
shape (Triangle
uid 963,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "76625,5250,77375,6000"
)
tg (CPTG
uid 964,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 965,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "76300,7000,77700,11200"
st "side1"
ju 2
blo "77500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 966,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,2600,61000,3400"
st "side1 : IN std_uLogic ;
"
)
thePort (LogicalPort
decl (Decl
n "side1"
t "std_uLogic"
o 13
suid 2088,0
)
)
)
*95 (CptPort
uid 967,0
ps "OnEdgeStrategy"
shape (Triangle
uid 968,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "74625,5250,75375,6000"
)
tg (CPTG
uid 969,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 970,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "74300,7000,75700,11200"
st "side2"
ju 2
blo "75500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 971,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,3400,61000,4200"
st "side2 : IN std_uLogic ;
"
)
thePort (LogicalPort
decl (Decl
n "side2"
t "std_uLogic"
o 14
suid 2089,0
)
)
)
*96 (CptPort
uid 972,0
ps "OnEdgeStrategy"
shape (Triangle
uid 973,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "32625,5250,33375,6000"
)
tg (CPTG
uid 974,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 975,0
ro 270
va (VaSet
font "Verdana,12,0"
)
xt "32300,7000,33700,13700"
st "testMode"
ju 2
blo "33500,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 976,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,13000,60000,13800"
st "testMode : OUT std_uLogic
"
)
thePort (LogicalPort
m 1
decl (Decl
n "testMode"
t "std_uLogic"
o 15
suid 2090,0
)
)
)
]
shape (Rectangle
uid 9,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,87000,14000"
)
oxt "15000,6000,77000,14000"
biTextGroup (BiTextGroup
uid 10,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
uid 11,0
va (VaSet
font "Verdana,9,1"
)
xt "47050,8800,54050,10000"
st "Cursor_test"
blo "47050,9800"
)
second (Text
uid 12,0
va (VaSet
font "Verdana,9,1"
)
xt "47050,10000,54950,11200"
st "cursor_tester"
blo "47050,11000"
)
)
gi *97 (GenericInterface
uid 13,0
ps "CenterOffsetStrategy"
matrix (Matrix
uid 14,0
text (MLText
uid 15,0
va (VaSet
isHidden 1
font "Verdana,8,0"
)
xt "26000,6000,35700,7000"
st "Generic Declarations"
)
header "Generic Declarations"
showHdrWhenContentsEmpty 1
)
elements [
]
)
portInstanceVisAsIs 1
portInstanceVis (PortSigDisplay
sTC 0
sF 0
)
portVis (PortSigDisplay
sTC 0
sF 0
)
)
*98 (Grouping
uid 16,0
optionalChildren [
*99 (CommentText
uid 18,0
shape (Rectangle
uid 19,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,48000,53000,49000"
)
oxt "18000,70000,35000,71000"
text (MLText
uid 20,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,48500,36200,48500"
st "
by %user on %dd %month %year
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*100 (CommentText
uid 21,0
shape (Rectangle
uid 22,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "53000,44000,57000,45000"
)
oxt "35000,66000,39000,67000"
text (MLText
uid 23,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "53200,44500,53200,44500"
st "
Project:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*101 (CommentText
uid 24,0
shape (Rectangle
uid 25,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,46000,53000,47000"
)
oxt "18000,68000,35000,69000"
text (MLText
uid 26,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,46500,36200,46500"
st "
<enter diagram title here>
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*102 (CommentText
uid 27,0
shape (Rectangle
uid 28,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,46000,36000,47000"
)
oxt "14000,68000,18000,69000"
text (MLText
uid 29,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,46500,32200,46500"
st "
Title:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*103 (CommentText
uid 30,0
shape (Rectangle
uid 31,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "53000,45000,73000,49000"
)
oxt "35000,67000,55000,71000"
text (MLText
uid 32,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "53200,45200,67300,46400"
st "
<enter comments here>
"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*104 (CommentText
uid 33,0
shape (Rectangle
uid 34,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "57000,44000,73000,45000"
)
oxt "39000,66000,55000,67000"
text (MLText
uid 35,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "57200,44500,57200,44500"
st "
<enter project name here>
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*105 (CommentText
uid 36,0
shape (Rectangle
uid 37,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,44000,53000,46000"
)
oxt "14000,66000,35000,68000"
text (MLText
uid 38,0
va (VaSet
fg "32768,0,0"
)
xt "37350,44400,47650,45600"
st "
<company name>
"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*106 (CommentText
uid 39,0
shape (Rectangle
uid 40,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,47000,36000,48000"
)
oxt "14000,69000,18000,70000"
text (MLText
uid 41,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,47500,32200,47500"
st "
Path:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*107 (CommentText
uid 42,0
shape (Rectangle
uid 43,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,48000,36000,49000"
)
oxt "14000,70000,18000,71000"
text (MLText
uid 44,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,48500,32200,48500"
st "
Edited:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*108 (CommentText
uid 45,0
shape (Rectangle
uid 46,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,47000,53000,48000"
)
oxt "18000,69000,35000,70000"
text (MLText
uid 47,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,47500,36200,47500"
st "
%library/%unit/%view
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
uid 17,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "32000,44000,73000,49000"
)
oxt "14000,66000,55000,71000"
)
]
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 1
isActive 1
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *109 (PackageList
uid 48,0
stg "VerticalLayoutStrategy"
textVec [
*110 (Text
uid 49,0
va (VaSet
font "Verdana,8,1"
)
xt "0,0,6500,900"
st "Package List"
blo "0,700"
)
*111 (MLText
uid 50,0
va (VaSet
)
xt "0,1000,17500,4600"
st "LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;"
tm "PackageList"
)
]
)
windowSize "0,0,895,750"
viewArea "0,0,0,0"
cachedDiagramExtent "0,0,0,0"
pageBreakOrigin "0,0"
defaultCommentText (CommentText
shape (Rectangle
layer 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
lineColor "0,0,32768"
)
xt "0,0,15000,5000"
)
text (MLText
va (VaSet
fg "0,0,32768"
)
xt "200,200,3200,1400"
st "
Text
"
tm "CommentText"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
)
)
defaultRequirementText (RequirementText
shape (ZoomableIcon
layer 0
va (VaSet
vasetType 1
fg "59904,39936,65280"
lineColor "0,0,32768"
)
xt "0,0,1500,1750"
iconName "reqTracerRequirement.bmp"
iconMaskName "reqTracerRequirement.msk"
)
autoResize 1
text (MLText
va (VaSet
fg "0,0,32768"
font "Verdana,8,0"
)
xt "450,2150,1450,3150"
st "
Text
"
tm "RequirementText"
wrapOption 3
visibleHeight 1350
visibleWidth 1100
)
)
defaultPanel (Panel
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "32768,0,0"
lineWidth 2
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (Text
va (VaSet
font "Verdana,9,1"
)
xt "1000,1000,4400,2200"
st "Panel0"
blo "1000,2000"
tm "PanelText"
)
)
)
parentGraphicsRef (HdmGraphicsRef
libraryName "Cursor_test"
entityName "cursor_tb"
viewName "struct.bd"
)
defaultSymbolBody (SymbolBody
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,35000,26000"
)
biTextGroup (BiTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
va (VaSet
font "Verdana,9,1"
)
xt "22600,14800,27400,16000"
st "<library>"
blo "22600,15800"
)
second (Text
va (VaSet
font "Verdana,9,1"
)
xt "22600,16000,25900,17200"
st "<cell>"
blo "22600,17000"
)
)
gi *112 (GenericInterface
ps "CenterOffsetStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
font "Verdana,8,0"
)
xt "0,12000,0,12000"
)
header "Generic Declarations"
)
elements [
]
)
portInstanceVisAsIs 1
portInstanceVis (PortSigDisplay
)
)
defaultCptPort (CptPort
ps "OnEdgeStrategy"
shape (Triangle
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,750,750"
)
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
)
xt "0,750,1800,1950"
st "In0"
blo "0,1750"
tm "CptPortNameMgr"
)
)
dt (MLText
va (VaSet
font "Verdana,8,0"
)
)
thePort (LogicalPort
decl (Decl
n "In0"
t "std_logic_vector"
b "(15 DOWNTO 0)"
o 0
)
)
)
defaultCptPortBuffer (CptPort
ps "OnEdgeStrategy"
shape (Diamond
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "0,0,750,750"
)
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
)
xt "0,750,3600,1950"
st "Buffer0"
blo "0,1750"
tm "CptPortNameMgr"
)
)
dt (MLText
va (VaSet
font "Verdana,8,0"
)
)
thePort (LogicalPort
m 3
decl (Decl
n "Buffer0"
t "std_logic_vector"
b "(15 DOWNTO 0)"
o 0
)
)
)
DeclarativeBlock *113 (SymDeclBlock
uid 1,0
stg "SymDeclLayoutStrategy"
declLabel (Text
uid 2,0
va (VaSet
font "Verdana,8,1"
)
xt "42000,0,48500,900"
st "Declarations"
blo "42000,700"
)
portLabel (Text
uid 3,0
va (VaSet
font "Verdana,8,1"
)
xt "42000,900,45000,1800"
st "Ports:"
blo "42000,1600"
)
externalLabel (Text
uid 4,0
va (VaSet
font "Verdana,8,1"
)
xt "42000,13800,44500,14700"
st "User:"
blo "42000,14500"
)
internalLabel (Text
uid 6,0
va (VaSet
isHidden 1
font "Verdana,8,1"
)
xt "42000,0,49500,900"
st "Internal User:"
blo "42000,700"
)
externalText (MLText
uid 5,0
va (VaSet
font "Verdana,8,0"
)
xt "44000,14700,44000,14700"
tm "SyDeclarativeTextMgr"
)
internalText (MLText
uid 7,0
va (VaSet
isHidden 1
font "Verdana,8,0"
)
xt "42000,0,42000,0"
tm "SyDeclarativeTextMgr"
)
)
lastUid 1006,0
)