1
0

3979 lines
47 KiB
Plaintext
Raw Permalink Normal View History

2024-02-23 13:01:05 +00:00
DocumentHdrVersion "1.1"
Header (DocumentHdr
version 2
dialect 11
dmPackageRefs [
(DmPackageRef
library "ieee"
unitName "std_logic_1164"
)
(DmPackageRef
library "ieee"
unitName "numeric_std"
)
]
instances [
(Instance
name "I_dff"
duLibraryName "Board"
duName "DFF"
elements [
]
mwi 0
uid 1071,0
)
(Instance
name "I_inv2"
duLibraryName "Board"
duName "inverterIn"
elements [
]
mwi 0
uid 1806,0
)
(Instance
name "I_inv1"
duLibraryName "Board"
duName "inverterIn"
elements [
]
mwi 0
uid 1817,0
)
(Instance
name "I_main"
duLibraryName "Lissajous"
duName "lissajousGenerator"
elements [
(GiElement
name "signalBitNb"
type "positive"
value "signalBitNb"
)
(GiElement
name "phaseBitNb"
type "positive"
value "phaseBitNb"
)
(GiElement
name "stepX"
type "positive"
value "stepX"
)
(GiElement
name "stepY"
type "positive"
value "stepY"
)
]
mwi 0
uid 2310,0
)
]
embeddedInstances [
(EmbeddedInstance
name "eb4"
number "4"
)
]
libraryRefs [
"ieee"
]
)
version "32.1"
appVersion "2019.2 (Build 5)"
noEmbeddedEditors 1
model (BlockDiag
VExpander (VariableExpander
vvMap [
(vvPair
variable " "
value " "
)
(vvPair
variable "HDLDir"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl"
)
(vvPair
variable "HDSDir"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds"
)
(vvPair
variable "SideDataDesignDir"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.info"
)
(vvPair
variable "SideDataUserDir"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.user"
)
(vvPair
variable "SourceDir"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds"
)
(vvPair
variable "appl"
value "HDL Designer"
)
(vvPair
variable "arch_name"
value "studentVersion"
)
(vvPair
variable "asm_file"
value "beamer.asm"
)
(vvPair
variable "concat_file"
value "concatenated"
)
(vvPair
variable "config"
value "%(unit)_%(view)_config"
)
(vvPair
variable "d"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit"
)
(vvPair
variable "d_logical"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit"
)
(vvPair
variable "date"
value "28.04.2023"
)
(vvPair
variable "day"
value "ven."
)
(vvPair
variable "day_long"
value "vendredi"
)
(vvPair
variable "dd"
value "28"
)
(vvPair
variable "designName"
value "$DESIGN_NAME"
)
(vvPair
variable "entity_name"
value "lissajousGenerator_circuit"
)
(vvPair
variable "ext"
value "<TBD>"
)
(vvPair
variable "f"
value "student@version.bd"
)
(vvPair
variable "f_logical"
value "studentVersion.bd"
)
(vvPair
variable "f_noext"
value "student@version"
)
(vvPair
variable "graphical_source_author"
value "axel.amand"
)
(vvPair
variable "graphical_source_date"
value "28.04.2023"
)
(vvPair
variable "graphical_source_group"
value "UNKNOWN"
)
(vvPair
variable "graphical_source_host"
value "WE7860"
)
(vvPair
variable "graphical_source_time"
value "14:46:48"
)
(vvPair
variable "group"
value "UNKNOWN"
)
(vvPair
variable "host"
value "WE7860"
)
(vvPair
variable "language"
value "VHDL"
)
(vvPair
variable "library"
value "Board"
)
(vvPair
variable "library_downstream_Concatenation"
value "$HDS_PROJECT_DIR/../Board/concat"
)
(vvPair
variable "library_downstream_Generic_1_file"
value "U:\\SEm_curves\\Synthesis"
)
(vvPair
variable "library_downstream_ModelSim"
value "D:\\Users\\ELN_labs\\VHDL_comp"
)
(vvPair
variable "library_downstream_ModelSimCompiler"
value "$SCRATCH_DIR/Board"
)
(vvPair
variable "library_downstream_QuestaSimCompiler"
value "$HDS_PROJECT_DIR/../Board/work"
)
(vvPair
variable "library_downstream_SpyGlass"
value "U:\\SEm_curves\\Synthesis"
)
(vvPair
variable "library_downstream_SvAssistantInvoke"
value "$HDS_PROJECT_DIR/../Board/svassistant"
)
(vvPair
variable "mm"
value "04"
)
(vvPair
variable "module_name"
value "lissajousGenerator_circuit"
)
(vvPair
variable "month"
value "avr."
)
(vvPair
variable "month_long"
value "avril"
)
(vvPair
variable "p"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd"
)
(vvPair
variable "p_logical"
value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit\\studentVersion.bd"
)
(vvPair
variable "package_name"
value "<Undefined Variable>"
)
(vvPair
variable "project_name"
value "hds"
)
(vvPair
variable "series"
value "HDL Designer Series"
)
(vvPair
variable "task_ADMS"
value "<TBD>"
)
(vvPair
variable "task_AsmPath"
value "$HEI_LIBS_DIR/NanoBlaze/hdl"
)
(vvPair
variable "task_DesignCompilerPath"
value "<TBD>"
)
(vvPair
variable "task_HDSPath"
value "$HDS_HOME"
)
(vvPair
variable "task_ISEBinPath"
value "$ISE_HOME"
)
(vvPair
variable "task_ISEPath"
value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR"
)
(vvPair
variable "task_LeonardoPath"
value "<TBD>"
)
(vvPair
variable "task_ModelSimPath"
value "$MODELSIM_HOME"
)
(vvPair
variable "task_NC"
value "<TBD>"
)
(vvPair
variable "task_PrecisionRTLPath"
value "<TBD>"
)
(vvPair
variable "task_QuestaSimPath"
value "<TBD>"
)
(vvPair
variable "task_VCSPath"
value "<TBD>"
)
(vvPair
variable "this_ext"
value "bd"
)
(vvPair
variable "this_file"
value "student@version"
)
(vvPair
variable "this_file_logical"
value "studentVersion"
)
(vvPair
variable "time"
value "14:46:48"
)
(vvPair
variable "unit"
value "lissajousGenerator_circuit"
)
(vvPair
variable "user"
value "axel.amand"
)
(vvPair
variable "version"
value "2019.2 (Build 5)"
)
(vvPair
variable "view"
value "studentVersion"
)
(vvPair
variable "year"
value "2023"
)
(vvPair
variable "yy"
value "23"
)
]
)
LanguageMgr "Vhdl2008LangMgr"
uid 83,0
optionalChildren [
*1 (PortIoIn
uid 9,0
shape (CompositeShape
uid 10,0
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
uid 11,0
sl 0
ro 270
xt "15000,29625,16500,30375"
)
(Line
uid 12,0
sl 0
ro 270
xt "16500,30000,17000,30000"
pts [
"16500,30000"
"17000,30000"
]
)
]
)
tg (WTG
uid 13,0
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 14,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "10500,29300,14000,30800"
st "clock"
ju 2
blo "14000,30500"
tm "WireNameMgr"
)
)
)
*2 (Net
uid 21,0
decl (Decl
n "clock"
t "std_ulogic"
o 1
suid 1,0
)
declText (MLText
uid 22,0
va (VaSet
)
xt "-1000,8000,11800,9200"
st "clock : std_ulogic"
)
)
*3 (PortIoOut
uid 23,0
shape (CompositeShape
uid 24,0
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
uid 25,0
sl 0
ro 270
xt "81500,25625,83000,26375"
)
(Line
uid 26,0
sl 0
ro 270
xt "81000,26000,81500,26000"
pts [
"81000,26000"
"81500,26000"
]
)
]
)
tg (WTG
uid 27,0
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 28,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "84000,25300,87100,26800"
st "yOut"
blo "84000,26500"
tm "WireNameMgr"
)
)
)
*4 (PortIoIn
uid 37,0
shape (CompositeShape
uid 38,0
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
uid 39,0
sl 0
ro 270
xt "15000,41625,16500,42375"
)
(Line
uid 40,0
sl 0
ro 270
xt "16500,42000,17000,42000"
pts [
"16500,42000"
"17000,42000"
]
)
]
)
tg (WTG
uid 41,0
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 42,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "8900,41300,14000,42800"
st "reset_N"
ju 2
blo "14000,42500"
tm "WireNameMgr"
)
)
)
*5 (Net
uid 49,0
decl (Decl
n "reset"
t "std_ulogic"
o 7
suid 2,0
)
declText (MLText
uid 50,0
va (VaSet
)
xt "-1000,20000,16200,21200"
st "SIGNAL reset : std_ulogic"
)
)
*6 (Grouping
uid 51,0
optionalChildren [
*7 (CommentText
uid 53,0
shape (Rectangle
uid 54,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "59000,65000,76000,66000"
)
oxt "18000,70000,35000,71000"
text (MLText
uid 55,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "59200,65500,59200,65500"
st "
by %user on %dd %month %year
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*8 (CommentText
uid 56,0
shape (Rectangle
uid 57,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "76000,61000,80000,62000"
)
oxt "35000,66000,39000,67000"
text (MLText
uid 58,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "76200,61500,76200,61500"
st "
Project:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*9 (CommentText
uid 59,0
shape (Rectangle
uid 60,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "59000,63000,76000,64000"
)
oxt "18000,68000,35000,69000"
text (MLText
uid 61,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "59200,63500,59200,63500"
st "
<enter diagram title here>
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*10 (CommentText
uid 62,0
shape (Rectangle
uid 63,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "55000,63000,59000,64000"
)
oxt "14000,68000,18000,69000"
text (MLText
uid 64,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "55200,63500,55200,63500"
st "
Title:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*11 (CommentText
uid 65,0
shape (Rectangle
uid 66,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "76000,62000,96000,66000"
)
oxt "35000,67000,55000,71000"
text (MLText
uid 67,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "76200,62200,90300,63400"
st "
<enter comments here>
"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*12 (CommentText
uid 68,0
shape (Rectangle
uid 69,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "80000,61000,96000,62000"
)
oxt "39000,66000,55000,67000"
text (MLText
uid 70,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "80200,61500,80200,61500"
st "
<enter project name here>
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*13 (CommentText
uid 71,0
shape (Rectangle
uid 72,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "55000,61000,76000,63000"
)
oxt "14000,66000,35000,68000"
text (MLText
uid 73,0
va (VaSet
fg "32768,0,0"
)
xt "60350,61400,70650,62600"
st "
<company name>
"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*14 (CommentText
uid 74,0
shape (Rectangle
uid 75,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "55000,64000,59000,65000"
)
oxt "14000,69000,18000,70000"
text (MLText
uid 76,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "55200,64500,55200,64500"
st "
Path:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*15 (CommentText
uid 77,0
shape (Rectangle
uid 78,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "55000,65000,59000,66000"
)
oxt "14000,70000,18000,71000"
text (MLText
uid 79,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "55200,65500,55200,65500"
st "
Edited:
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*16 (CommentText
uid 80,0
shape (Rectangle
uid 81,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "59000,64000,76000,65000"
)
oxt "18000,69000,35000,70000"
text (MLText
uid 82,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "59200,64500,59200,64500"
st "
%library/%unit/%view
"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
uid 52,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "55000,61000,96000,66000"
)
oxt "14000,66000,55000,71000"
)
*17 (Net
uid 253,0
decl (Decl
n "reset_N"
t "std_ulogic"
o 2
suid 3,0
)
declText (MLText
uid 254,0
va (VaSet
)
xt "-1000,9000,12500,10200"
st "reset_N : std_ulogic"
)
)
*18 (PortIoOut
uid 429,0
shape (CompositeShape
uid 430,0
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
uid 431,0
sl 0
ro 270
xt "81500,29625,83000,30375"
)
(Line
uid 432,0
sl 0
ro 270
xt "81000,30000,81500,30000"
pts [
"81000,30000"
"81500,30000"
]
)
]
)
tg (WTG
uid 433,0
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 434,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "84000,29300,90800,30800"
st "triggerOut"
blo "84000,30500"
tm "WireNameMgr"
)
)
)
*19 (Net
uid 441,0
decl (Decl
n "triggerOut"
t "std_ulogic"
o 3
suid 4,0
)
declText (MLText
uid 442,0
va (VaSet
)
xt "-1000,10000,12800,11200"
st "triggerOut : std_ulogic"
)
)
*20 (Net
uid 476,0
decl (Decl
n "xOut"
t "std_ulogic"
o 4
suid 6,0
)
declText (MLText
uid 477,0
va (VaSet
)
xt "-1000,11000,11900,12200"
st "xOut : std_ulogic"
)
)
*21 (PortIoOut
uid 569,0
shape (CompositeShape
uid 570,0
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
uid 571,0
sl 0
ro 270
xt "81500,27625,83000,28375"
)
(Line
uid 572,0
sl 0
ro 270
xt "81000,28000,81500,28000"
pts [
"81000,28000"
"81500,28000"
]
)
]
)
tg (WTG
uid 573,0
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 574,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "84000,27300,87100,28800"
st "xOut"
blo "84000,28500"
tm "WireNameMgr"
)
)
)
*22 (Net
uid 611,0
decl (Decl
n "yOut"
t "std_ulogic"
o 5
suid 7,0
)
declText (MLText
uid 612,0
va (VaSet
)
xt "-1000,12000,11900,13200"
st "yOut : std_ulogic"
)
)
*23 (HdlText
uid 818,0
optionalChildren [
*24 (EmbeddedText
uid 823,0
commentText (CommentText
uid 824,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 825,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
)
xt "22000,33000,28000,35000"
)
oxt "0,0,18000,5000"
text (MLText
uid 826,0
va (VaSet
)
xt "22200,33200,27700,34400"
st "
logic1 <= '1';
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 2000
visibleWidth 6000
)
)
)
]
shape (Rectangle
uid 819,0
va (VaSet
vasetType 1
fg "65535,65535,32768"
)
xt "21000,32000,29000,36000"
)
oxt "0,0,8000,10000"
ttg (MlTextGroup
uid 820,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*25 (Text
uid 821,0
va (VaSet
)
xt "21400,36000,23000,37000"
st "eb4"
blo "21400,36800"
tm "HdlTextNameMgr"
)
*26 (Text
uid 822,0
va (VaSet
)
xt "21400,37000,22200,38000"
st "4"
blo "21400,37800"
tm "HdlTextNumberMgr"
)
]
)
)
*27 (Net
uid 893,0
decl (Decl
n "resetSnch_N"
t "std_ulogic"
o 8
suid 10,0
)
declText (MLText
uid 894,0
va (VaSet
)
xt "-1000,21000,18300,22200"
st "SIGNAL resetSnch_N : std_ulogic"
)
)
*28 (Net
uid 895,0
decl (Decl
n "logic1"
t "std_uLogic"
o 6
suid 11,0
)
declText (MLText
uid 896,0
va (VaSet
)
xt "-1000,19000,16700,20200"
st "SIGNAL logic1 : std_uLogic"
)
)
*29 (Net
uid 897,0
decl (Decl
n "resetSynch"
t "std_ulogic"
o 9
suid 12,0
)
declText (MLText
uid 898,0
va (VaSet
)
xt "-1000,22000,17800,23200"
st "SIGNAL resetSynch : std_ulogic"
)
)
*30 (SaComponent
uid 1071,0
optionalChildren [
*31 (CptPort
uid 1054,0
ps "OnEdgeStrategy"
shape (Triangle
uid 1055,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "33250,33625,34000,34375"
)
tg (CPTG
uid 1056,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 1057,0
va (VaSet
font "Arial,12,0"
)
xt "35000,33300,36600,34800"
st "D"
blo "35000,34500"
)
)
thePort (LogicalPort
decl (Decl
n "D"
t "std_uLogic"
o 3
)
)
)
*32 (CptPort
uid 1058,0
optionalChildren [
*33 (FFT
pts [
"34750,38000"
"34000,38375"
"34000,37625"
]
uid 1062,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "34000,37625,34750,38375"
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 1059,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "33250,37625,34000,38375"
)
tg (CPTG
uid 1060,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 1061,0
va (VaSet
font "Arial,12,0"
)
xt "35000,37400,38100,38900"
st "CLK"
blo "35000,38600"
)
)
thePort (LogicalPort
decl (Decl
n "CLK"
t "std_uLogic"
o 1
)
)
)
*34 (CptPort
uid 1063,0
ps "OnEdgeStrategy"
shape (Triangle
uid 1064,0
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "36625,40000,37375,40750"
)
tg (CPTG
uid 1065,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 1066,0
va (VaSet
font "Arial,12,0"
)
xt "36000,38600,39200,40100"
st "CLR"
blo "36000,39800"
)
)
thePort (LogicalPort
decl (Decl
n "CLR"
t "std_uLogic"
o 2
)
)
)
*35 (CptPort
uid 1067,0
ps "OnEdgeStrategy"
shape (Triangle
uid 1068,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "40000,33625,40750,34375"
)
tg (CPTG
uid 1069,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 1070,0
va (VaSet
font "Arial,12,0"
)
xt "37400,33300,39000,34800"
st "Q"
ju 2
blo "39000,34500"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "Q"
t "std_uLogic"
o 4
)
)
)
]
shape (Rectangle
uid 1072,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "34000,32000,40000,40000"
)
showPorts 0
oxt "0,0,8000,10000"
ttg (MlTextGroup
uid 1073,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*36 (Text
uid 1074,0
va (VaSet
)
xt "38600,39700,41000,40700"
st "Board"
blo "38600,40500"
tm "BdLibraryNameMgr"
)
*37 (Text
uid 1075,0
va (VaSet
)
xt "38600,40700,40600,41700"
st "DFF"
blo "38600,41500"
tm "CptNameMgr"
)
*38 (Text
uid 1076,0
va (VaSet
)
xt "38600,41700,40400,42700"
st "I_dff"
blo "38600,42500"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 1077,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 1078,0
text (MLText
uid 1079,0
va (VaSet
)
xt "11000,29000,11000,29000"
)
header ""
)
elements [
]
)
portVis (PortSigDisplay
sTC 0
sT 1
)
archFileType "UNKNOWN"
)
*39 (SaComponent
uid 1806,0
optionalChildren [
*40 (CptPort
uid 1797,0
optionalChildren [
*41 (Circle
uid 1801,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "44092,33546,45000,34454"
radius 454
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 1798,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "43342,33625,44092,34375"
)
tg (CPTG
uid 1799,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 1800,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "45000,33500,47400,35000"
st "in1"
blo "45000,34700"
)
s (Text
uid 1815,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "45000,34900,45000,34900"
blo "45000,34900"
)
)
thePort (LogicalPort
decl (Decl
n "in1"
t "std_uLogic"
o 1
suid 1,0
)
)
)
*42 (CptPort
uid 1802,0
ps "OnEdgeStrategy"
shape (Triangle
uid 1803,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "50000,33625,50750,34375"
)
tg (CPTG
uid 1804,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 1805,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "46650,33500,49750,35000"
st "out1"
ju 2
blo "49750,34700"
)
s (Text
uid 1816,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "49750,34900,49750,34900"
ju 2
blo "49750,34900"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "out1"
t "std_uLogic"
o 2
suid 2,0
)
)
)
]
shape (Buf
uid 1807,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "45000,31000,50000,37000"
)
showPorts 0
oxt "23000,4000,28000,10000"
ttg (MlTextGroup
uid 1808,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*43 (Text
uid 1809,0
va (VaSet
)
xt "46460,36700,48860,37700"
st "Board"
blo "46460,37500"
tm "BdLibraryNameMgr"
)
*44 (Text
uid 1810,0
va (VaSet
)
xt "46460,37700,50360,38700"
st "inverterIn"
blo "46460,38500"
tm "CptNameMgr"
)
*45 (Text
uid 1811,0
va (VaSet
)
xt "46460,38700,48760,39700"
st "I_inv2"
blo "46460,39500"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 1812,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 1813,0
text (MLText
uid 1814,0
va (VaSet
)
xt "45000,37400,45000,37400"
)
header ""
)
elements [
]
)
portVis (PortSigDisplay
disp 1
sN 0
sTC 0
sT 1
)
archFileType "UNKNOWN"
)
*46 (SaComponent
uid 1817,0
optionalChildren [
*47 (CptPort
uid 1826,0
optionalChildren [
*48 (Circle
uid 1831,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "22092,41546,23000,42454"
radius 454
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 1827,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "21342,41625,22092,42375"
)
tg (CPTG
uid 1828,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 1829,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "23000,41500,25400,43000"
st "in1"
blo "23000,42700"
)
s (Text
uid 1830,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "23000,42900,23000,42900"
blo "23000,42900"
)
)
thePort (LogicalPort
decl (Decl
n "in1"
t "std_uLogic"
o 1
)
)
)
*49 (CptPort
uid 1832,0
ps "OnEdgeStrategy"
shape (Triangle
uid 1833,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,0"
)
xt "28000,41625,28750,42375"
)
tg (CPTG
uid 1834,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 1835,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "24650,41500,27750,43000"
st "out1"
ju 2
blo "27750,42700"
)
s (Text
uid 1836,0
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "27750,42900,27750,42900"
ju 2
blo "27750,42900"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "out1"
t "std_uLogic"
o 2
)
)
)
]
shape (Buf
uid 1818,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "23000,39000,28000,45000"
)
showPorts 0
oxt "23000,4000,28000,10000"
ttg (MlTextGroup
uid 1819,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*50 (Text
uid 1820,0
va (VaSet
)
xt "24460,44700,26860,45700"
st "Board"
blo "24460,45500"
tm "BdLibraryNameMgr"
)
*51 (Text
uid 1821,0
va (VaSet
)
xt "24460,45700,28360,46700"
st "inverterIn"
blo "24460,46500"
tm "CptNameMgr"
)
*52 (Text
uid 1822,0
va (VaSet
)
xt "24460,46700,26760,47700"
st "I_inv1"
blo "24460,47500"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 1823,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 1824,0
text (MLText
uid 1825,0
va (VaSet
)
xt "23000,45400,23000,45400"
)
header ""
)
elements [
]
)
portVis (PortSigDisplay
disp 1
sN 0
sTC 0
sT 1
)
archFileType "UNKNOWN"
)
*53 (SaComponent
uid 2310,0
optionalChildren [
*54 (CptPort
uid 2290,0
ps "OnEdgeStrategy"
shape (Triangle
uid 2291,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "56250,29625,57000,30375"
)
tg (CPTG
uid 2292,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 2293,0
va (VaSet
font "Arial,9,0"
)
xt "58000,29400,60700,30600"
st "clock"
blo "58000,30300"
)
)
thePort (LogicalPort
decl (Decl
n "clock"
t "std_ulogic"
o 1
suid 1,0
)
)
)
*55 (CptPort
uid 2294,0
ps "OnEdgeStrategy"
shape (Triangle
uid 2295,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "73000,29625,73750,30375"
)
tg (CPTG
uid 2296,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 2297,0
va (VaSet
font "Arial,9,0"
)
xt "66900,29400,72000,30600"
st "triggerOut"
ju 2
blo "72000,30300"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "triggerOut"
t "std_ulogic"
o 3
suid 3,0
)
)
)
*56 (CptPort
uid 2298,0
ps "OnEdgeStrategy"
shape (Triangle
uid 2299,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "73000,27625,73750,28375"
)
tg (CPTG
uid 2300,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 2301,0
va (VaSet
font "Arial,9,0"
)
xt "69500,27400,72000,28600"
st "xOut"
ju 2
blo "72000,28300"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "xOut"
t "std_ulogic"
o 4
suid 4,0
)
)
)
*57 (CptPort
uid 2302,0
ps "OnEdgeStrategy"
shape (Triangle
uid 2303,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "73000,25625,73750,26375"
)
tg (CPTG
uid 2304,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 2305,0
va (VaSet
font "Arial,9,0"
)
xt "69400,25400,72000,26600"
st "yOut"
ju 2
blo "72000,26300"
)
)
thePort (LogicalPort
m 1
decl (Decl
n "yOut"
t "std_ulogic"
o 5
suid 5,0
)
)
)
*58 (CptPort
uid 2306,0
ps "OnEdgeStrategy"
shape (Triangle
uid 2307,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "56250,31625,57000,32375"
)
tg (CPTG
uid 2308,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 2309,0
va (VaSet
)
xt "58000,31500,60100,32500"
st "reset"
blo "58000,32300"
)
)
thePort (LogicalPort
decl (Decl
n "reset"
t "std_ulogic"
o 2
suid 2006,0
)
)
)
]
shape (Rectangle
uid 2311,0
va (VaSet
vasetType 1
fg "0,65535,0"
bg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "57000,22000,73000,34000"
)
oxt "32000,10000,48000,22000"
ttg (MlTextGroup
uid 2312,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*59 (Text
uid 2313,0
va (VaSet
font "Arial,9,1"
)
xt "57600,33800,62500,34900"
st "Lissajous"
blo "57600,34700"
tm "BdLibraryNameMgr"
)
*60 (Text
uid 2314,0
va (VaSet
font "Arial,9,1"
)
xt "57600,34700,67200,35800"
st "lissajousGenerator"
blo "57600,35600"
tm "CptNameMgr"
)
*61 (Text
uid 2315,0
va (VaSet
font "Arial,9,1"
)
xt "57600,35600,61100,36700"
st "I_main"
blo "57600,36500"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 2316,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 2317,0
text (MLText
uid 2318,0
va (VaSet
)
xt "57000,37600,80500,42400"
st "signalBitNb = signalBitNb ( positive )
phaseBitNb = phaseBitNb ( positive )
stepX = stepX ( positive )
stepY = stepY ( positive ) "
)
header ""
)
elements [
(GiElement
name "signalBitNb"
type "positive"
value "signalBitNb"
)
(GiElement
name "phaseBitNb"
type "positive"
value "phaseBitNb"
)
(GiElement
name "stepX"
type "positive"
value "stepX"
)
(GiElement
name "stepY"
type "positive"
value "stepY"
)
]
)
connectByName 1
portVis (PortSigDisplay
sTC 0
)
archFileType "UNKNOWN"
)
*62 (Wire
uid 15,0
shape (OrthoPolyLine
uid 16,0
va (VaSet
vasetType 3
)
xt "17000,30000,56250,30000"
pts [
"17000,30000"
"56250,30000"
]
)
start &1
end &54
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 19,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 20,0
va (VaSet
font "Arial,12,0"
)
xt "17000,28600,20500,30100"
st "clock"
blo "17000,29800"
tm "WireNameMgr"
)
)
on &2
)
*63 (Wire
uid 29,0
shape (OrthoPolyLine
uid 30,0
va (VaSet
vasetType 3
)
xt "73750,26000,81000,26000"
pts [
"81000,26000"
"73750,26000"
]
)
start &3
end &57
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 33,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 34,0
va (VaSet
font "Arial,12,0"
)
xt "76000,24600,79100,26100"
st "yOut"
blo "76000,25800"
tm "WireNameMgr"
)
)
on &22
)
*64 (Wire
uid 43,0
shape (OrthoPolyLine
uid 44,0
va (VaSet
vasetType 3
)
xt "17000,42000,22092,42000"
pts [
"17000,42000"
"22092,42000"
]
)
start &4
end &47
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 47,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 48,0
va (VaSet
font "Arial,12,0"
)
xt "16000,40600,21100,42100"
st "reset_N"
blo "16000,41800"
tm "WireNameMgr"
)
)
on &17
)
*65 (Wire
uid 245,0
shape (OrthoPolyLine
uid 246,0
va (VaSet
vasetType 3
)
xt "50000,32000,56250,34000"
pts [
"50000,34000"
"53000,34000"
"53000,32000"
"56250,32000"
]
)
start &42
end &58
ss 0
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 251,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 252,0
va (VaSet
font "Arial,12,0"
)
xt "50000,30600,57500,32100"
st "resetSynch"
blo "50000,31800"
tm "WireNameMgr"
)
)
on &29
)
*66 (Wire
uid 435,0
shape (OrthoPolyLine
uid 436,0
va (VaSet
vasetType 3
)
xt "73750,30000,81000,30000"
pts [
"81000,30000"
"73750,30000"
]
)
start &18
end &55
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 439,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 440,0
va (VaSet
font "Arial,12,0"
)
xt "76000,28600,82800,30100"
st "triggerOut"
blo "76000,29800"
tm "WireNameMgr"
)
)
on &19
)
*67 (Wire
uid 575,0
shape (OrthoPolyLine
uid 576,0
va (VaSet
vasetType 3
)
xt "73750,28000,81000,28000"
pts [
"81000,28000"
"73750,28000"
]
)
start &21
end &56
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 577,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 578,0
va (VaSet
font "Arial,12,0"
)
xt "76000,26600,79100,28100"
st "xOut"
blo "76000,27800"
tm "WireNameMgr"
)
)
on &20
)
*68 (Wire
uid 873,0
shape (OrthoPolyLine
uid 874,0
va (VaSet
vasetType 3
)
xt "32000,38000,34000,38000"
pts [
"32000,38000"
"34000,38000"
]
)
end &32
sat 16
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 877,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 878,0
va (VaSet
font "Arial,12,0"
)
xt "30000,36600,33500,38100"
st "clock"
blo "30000,37800"
tm "WireNameMgr"
)
)
on &2
)
*69 (Wire
uid 879,0
shape (OrthoPolyLine
uid 880,0
va (VaSet
vasetType 3
)
xt "28000,40000,37000,42000"
pts [
"28000,42000"
"37000,42000"
"37000,40000"
]
)
start &49
end &34
ss 0
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 881,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 882,0
va (VaSet
font "Arial,12,0"
)
xt "29000,40600,32500,42100"
st "reset"
blo "29000,41800"
tm "WireNameMgr"
)
)
on &5
)
*70 (Wire
uid 883,0
shape (OrthoPolyLine
uid 884,0
va (VaSet
vasetType 3
)
xt "40000,34000,44092,34000"
pts [
"40000,34000"
"44092,34000"
]
)
start &35
end &40
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 885,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 886,0
va (VaSet
font "Arial,12,0"
)
xt "39000,32600,47600,34100"
st "resetSnch_N"
blo "39000,33800"
tm "WireNameMgr"
)
)
on &27
)
*71 (Wire
uid 887,0
shape (OrthoPolyLine
uid 888,0
va (VaSet
vasetType 3
)
xt "29000,34000,34000,34000"
pts [
"34000,34000"
"29000,34000"
]
)
start &31
end &23
sat 32
eat 2
stc 0
sf 1
si 0
tg (WTG
uid 891,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 892,0
va (VaSet
font "Arial,12,0"
)
xt "30000,32600,34000,34100"
st "logic1"
blo "30000,33800"
tm "WireNameMgr"
)
)
on &28
)
]
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 0
isActive 1
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *72 (PackageList
uid 84,0
stg "VerticalLayoutStrategy"
textVec [
*73 (Text
uid 85,0
va (VaSet
font "Arial,8,1"
)
xt "-3000,0,2400,1000"
st "Package List"
blo "-3000,800"
)
*74 (MLText
uid 86,0
va (VaSet
)
xt "-3000,1000,14500,4600"
st "LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;"
tm "PackageList"
)
]
)
compDirBlock (MlTextGroup
uid 87,0
stg "VerticalLayoutStrategy"
textVec [
*75 (Text
uid 88,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,0,28100,1000"
st "Compiler Directives"
blo "20000,800"
)
*76 (Text
uid 89,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,1000,29600,2000"
st "Pre-module directives:"
blo "20000,1800"
)
*77 (MLText
uid 90,0
va (VaSet
isHidden 1
)
xt "20000,2000,32100,4400"
st "`resetall
`timescale 1ns/10ps"
tm "BdCompilerDirectivesTextMgr"
)
*78 (Text
uid 91,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,4000,30100,5000"
st "Post-module directives:"
blo "20000,4800"
)
*79 (MLText
uid 92,0
va (VaSet
isHidden 1
)
xt "20000,0,20000,0"
tm "BdCompilerDirectivesTextMgr"
)
*80 (Text
uid 93,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,5000,29900,6000"
st "End-module directives:"
blo "20000,5800"
)
*81 (MLText
uid 94,0
va (VaSet
isHidden 1
)
xt "20000,6000,20000,6000"
tm "BdCompilerDirectivesTextMgr"
)
]
associable 1
)
windowSize "-8,-8,1928,1048"
viewArea "-4438,-1432,122918,67764"
cachedDiagramExtent "-3000,0,96000,66000"
pageSetupInfo (PageSetupInfo
ptrCmd ""
toPrinter 1
xMargin 48
yMargin 48
paperWidth 761
paperHeight 1077
unixPaperWidth 595
unixPaperHeight 842
windowsPaperWidth 761
windowsPaperHeight 1077
paperType "A4"
unixPaperName "A4 (210mm x 297mm)"
windowsPaperName "A4"
scale 75
exportedDirectories [
"$HDS_PROJECT_DIR/HTMLExport"
]
boundaryWidth 0
)
hasePageBreakOrigin 1
pageBreakOrigin "-3000,0"
lastUid 2453,0
defaultCommentText (CommentText
shape (Rectangle
layer 0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
)
xt "0,0,15000,5000"
)
text (MLText
va (VaSet
fg "65535,0,0"
)
xt "200,200,3200,1400"
st "
Text
"
tm "CommentText"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
)
)
defaultRequirementText (RequirementText
shape (ZoomableIcon
layer 0
va (VaSet
vasetType 1
fg "59904,39936,65280"
lineColor "0,0,32768"
)
xt "0,0,1500,1750"
iconName "reqTracerRequirement.bmp"
iconMaskName "reqTracerRequirement.msk"
)
autoResize 1
text (MLText
va (VaSet
fg "0,0,32768"
)
xt "450,2150,1450,3350"
st "
Text
"
tm "RequirementText"
wrapOption 3
visibleHeight 1350
visibleWidth 1100
)
)
defaultPanel (Panel
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "32768,0,0"
lineWidth 3
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (Text
va (VaSet
font "Arial,10,1"
)
xt "1000,1000,4400,2200"
st "Panel0"
blo "1000,2000"
tm "PanelText"
)
)
)
defaultBlk (Blk
shape (Rectangle
va (VaSet
vasetType 1
fg "40000,56832,65535"
)
xt "0,0,8000,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*82 (Text
va (VaSet
font "Arial,9,0"
)
xt "1700,3200,6300,4400"
st "<library>"
blo "1700,4200"
tm "BdLibraryNameMgr"
)
*83 (Text
va (VaSet
font "Arial,9,0"
)
xt "1700,4400,5800,5600"
st "<block>"
blo "1700,5400"
tm "BlkNameMgr"
)
*84 (Text
va (VaSet
font "Arial,9,0"
)
xt "1700,5600,2900,6800"
st "I0"
blo "1700,6600"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
ps "EdgeToEdgeStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
)
xt "1700,13200,1700,13200"
)
header ""
)
elements [
]
)
)
defaultMWComponent (MWC
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,8000,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*85 (Text
va (VaSet
)
xt "1000,3500,3300,4500"
st "Library"
blo "1000,4300"
)
*86 (Text
va (VaSet
)
xt "1000,4500,7000,5500"
st "MWComponent"
blo "1000,5300"
)
*87 (Text
va (VaSet
)
xt "1000,5500,1600,6500"
st "I0"
blo "1000,6300"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
ps "EdgeToEdgeStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
)
xt "-6000,1500,-6000,1500"
)
header ""
)
elements [
]
)
prms (Property
pclass "params"
pname "params"
ptn "String"
)
visOptions (mwParamsVisibilityOptions
)
)
defaultSaComponent (SaComponent
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,8000,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*88 (Text
va (VaSet
)
xt "1250,3500,3550,4500"
st "Library"
blo "1250,4300"
tm "BdLibraryNameMgr"
)
*89 (Text
va (VaSet
)
xt "1250,4500,6750,5500"
st "SaComponent"
blo "1250,5300"
tm "CptNameMgr"
)
*90 (Text
va (VaSet
)
xt "1250,5500,1850,6500"
st "I0"
blo "1250,6300"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
ps "EdgeToEdgeStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
)
xt "-5750,1500,-5750,1500"
)
header ""
)
elements [
]
)
archFileType "UNKNOWN"
)
defaultVhdlComponent (VhdlComponent
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,8000,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*91 (Text
va (VaSet
)
xt "950,3500,3250,4500"
st "Library"
blo "950,4300"
)
*92 (Text
va (VaSet
)
xt "950,4500,7050,5500"
st "VhdlComponent"
blo "950,5300"
)
*93 (Text
va (VaSet
)
xt "950,5500,1550,6500"
st "I0"
blo "950,6300"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
ps "EdgeToEdgeStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
)
xt "-6050,1500,-6050,1500"
)
header ""
)
elements [
]
)
entityPath ""
archName ""
archPath ""
)
defaultVerilogComponent (VerilogComponent
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "-50,0,8050,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*94 (Text
va (VaSet
)
xt "450,3500,2750,4500"
st "Library"
blo "450,4300"
)
*95 (Text
va (VaSet
)
xt "450,4500,7550,5500"
st "VerilogComponent"
blo "450,5300"
)
*96 (Text
va (VaSet
)
xt "450,5500,1050,6500"
st "I0"
blo "450,6300"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
ps "EdgeToEdgeStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
)
xt "-6550,1500,-6550,1500"
)
header ""
)
elements [
]
)
entityPath ""
)
defaultHdlText (HdlText
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,32768"
)
xt "0,0,8000,10000"
)
ttg (MlTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*97 (Text
va (VaSet
)
xt "3400,4000,4600,5000"
st "eb1"
blo "3400,4800"
tm "HdlTextNameMgr"
)
*98 (Text
va (VaSet
)
xt "3400,5000,3800,6000"
st "1"
blo "3400,5800"
tm "HdlTextNumberMgr"
)
]
)
)
defaultEmbeddedText (EmbeddedText
commentText (CommentText
ps "CenterOffsetStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
)
xt "0,0,18000,5000"
)
text (MLText
va (VaSet
)
xt "200,200,3200,1400"
st "
Text
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 4600
visibleWidth 17600
)
)
)
defaultGlobalConnector (GlobalConnector
shape (Circle
va (VaSet
vasetType 1
fg "65535,65535,0"
)
xt "-1000,-1000,1000,1000"
radius 1000
)
name (Text
va (VaSet
)
xt "-300,-500,300,500"
st "G"
blo "-300,300"
)
)
defaultRipper (Ripper
ps "OnConnectorStrategy"
shape (Line2D
pts [
"0,0"
"1000,1000"
]
va (VaSet
vasetType 1
)
xt "0,0,1000,1000"
)
)
defaultBdJunction (BdJunction
ps "OnConnectorStrategy"
shape (Circle
va (VaSet
vasetType 1
)
xt "-400,-400,400,400"
radius 400
)
)
defaultPortIoIn (PortIoIn
shape (CompositeShape
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "-2000,-375,-500,375"
)
(Line
sl 0
ro 270
xt "-500,0,0,0"
pts [
"-500,0"
"0,0"
]
)
]
)
tg (WTG
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "-1375,-1000,-1375,-1000"
ju 2
blo "-1375,-1000"
tm "WireNameMgr"
)
)
)
defaultPortIoOut (PortIoOut
shape (CompositeShape
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Pentagon
sl 0
ro 270
xt "500,-375,2000,375"
)
(Line
sl 0
ro 270
xt "0,0,500,0"
pts [
"0,0"
"500,0"
]
)
]
)
tg (WTG
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "625,-1000,625,-1000"
blo "625,-1000"
tm "WireNameMgr"
)
)
)
defaultPortIoInOut (PortIoInOut
shape (CompositeShape
va (VaSet
vasetType 1
fg "0,0,32768"
)
optionalChildren [
(Hexagon
sl 0
xt "500,-375,2000,375"
)
(Line
sl 0
xt "0,0,500,0"
pts [
"0,0"
"500,0"
]
)
]
)
tg (WTG
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "0,-375,0,-375"
blo "0,-375"
tm "WireNameMgr"
)
)
)
defaultPortIoBuffer (PortIoBuffer
shape (CompositeShape
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,0,32768"
)
optionalChildren [
(Hexagon
sl 0
xt "500,-375,2000,375"
)
(Line
sl 0
xt "0,0,500,0"
pts [
"0,0"
"500,0"
]
)
]
)
tg (WTG
ps "PortIoTextPlaceStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
isHidden 1
font "Arial,12,0"
)
xt "0,-375,0,-375"
blo "0,-375"
tm "WireNameMgr"
)
)
)
defaultSignal (Wire
shape (OrthoPolyLine
va (VaSet
vasetType 3
)
pts [
"0,0"
"0,0"
]
)
ss 0
es 0
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
font "Arial,12,0"
)
xt "0,0,2600,1400"
st "sig0"
blo "0,1200"
tm "WireNameMgr"
)
)
)
defaultBus (Wire
shape (OrthoPolyLine
va (VaSet
vasetType 3
lineWidth 2
)
pts [
"0,0"
"0,0"
]
)
ss 0
es 0
sat 32
eat 32
sty 1
stc 0
st 0
sf 1
si 0
tg (WTG
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
va (VaSet
font "Arial,12,0"
)
xt "0,0,3900,1400"
st "dbus0"
blo "0,1200"
tm "WireNameMgr"
)
)
)
defaultBundle (Bundle
shape (OrthoPolyLine
va (VaSet
vasetType 3
lineStyle 3
lineWidth 1
)
pts [
"0,0"
"0,0"
]
)
ss 0
es 0
sat 32
eat 32
textGroup (BiTextGroup
ps "ConnStartEndStrategy"
stg "VerticalLayoutStrategy"
first (Text
va (VaSet
)
xt "0,0,2600,1000"
st "bundle0"
blo "0,800"
tm "BundleNameMgr"
)
second (MLText
va (VaSet
)
xt "0,1000,1500,2200"
st "()"
tm "BundleContentsMgr"
)
)
bundleNet &0
)
defaultPortMapFrame (PortMapFrame
ps "PortMapFrameStrategy"
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,0,50000"
lineWidth 2
)
xt "0,0,10000,12000"
)
portMapText (BiTextGroup
ps "BottomRightOffsetStrategy"
stg "VerticalLayoutStrategy"
first (MLText
va (VaSet
)
xt "0,0,5000,1200"
st "Auto list"
)
second (MLText
va (VaSet
)
xt "0,1000,9600,2200"
st "User defined list"
tm "PortMapTextMgr"
)
)
)
defaultGenFrame (Frame
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "28160,28160,28160"
lineStyle 2
lineWidth 3
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (MLText
va (VaSet
)
xt "0,-1100,18500,100"
st "g0: FOR i IN 0 TO n GENERATE"
tm "FrameTitleTextMgr"
)
)
seqNum (FrameSequenceNumber
ps "TopLeftStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
)
xt "50,50,1050,1450"
)
num (Text
va (VaSet
)
xt "350,250,750,1250"
st "1"
blo "350,1050"
tm "FrameSeqNumMgr"
)
)
decls (MlTextGroup
ps "BottomRightOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*99 (Text
va (VaSet
font "Arial,8,1"
)
xt "14100,20000,22000,21000"
st "Frame Declarations"
blo "14100,20800"
)
*100 (MLText
va (VaSet
)
xt "14100,21000,14100,21000"
tm "BdFrameDeclTextMgr"
)
]
)
)
defaultBlockFrame (Frame
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "28160,28160,28160"
lineStyle 1
lineWidth 3
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (MLText
va (VaSet
)
xt "0,-1100,11000,100"
st "b0: BLOCK (guard)"
tm "FrameTitleTextMgr"
)
)
seqNum (FrameSequenceNumber
ps "TopLeftStrategy"
shape (Rectangle
va (VaSet
vasetType 1
fg "65535,65535,65535"
)
xt "50,50,1050,1450"
)
num (Text
va (VaSet
)
xt "350,250,750,1250"
st "1"
blo "350,1050"
tm "FrameSeqNumMgr"
)
)
decls (MlTextGroup
ps "BottomRightOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*101 (Text
va (VaSet
font "Arial,8,1"
)
xt "14100,20000,22000,21000"
st "Frame Declarations"
blo "14100,20800"
)
*102 (MLText
va (VaSet
)
xt "14100,21000,14100,21000"
tm "BdFrameDeclTextMgr"
)
]
)
style 3
)
defaultSaCptPort (CptPort
ps "OnEdgeStrategy"
shape (Triangle
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,750,750"
)
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
)
xt "0,750,1400,1750"
st "Port"
blo "0,1550"
)
)
thePort (LogicalPort
decl (Decl
n "Port"
t ""
o 0
)
)
)
defaultSaCptPortBuffer (CptPort
ps "OnEdgeStrategy"
shape (Diamond
va (VaSet
vasetType 1
fg "65535,65535,65535"
)
xt "0,0,750,750"
)
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
)
xt "0,750,1400,1750"
st "Port"
blo "0,1550"
)
)
thePort (LogicalPort
m 3
decl (Decl
n "Port"
t ""
o 0
)
)
)
defaultDeclText (MLText
va (VaSet
)
)
archDeclarativeBlock (BdArchDeclBlock
uid 1,0
stg "BdArchDeclBlockLS"
declLabel (Text
uid 2,0
va (VaSet
font "Arial,8,1"
)
xt "-3000,6000,2400,7000"
st "Declarations"
blo "-3000,6800"
)
portLabel (Text
uid 3,0
va (VaSet
font "Arial,8,1"
)
xt "-3000,7000,-300,8000"
st "Ports:"
blo "-3000,7800"
)
preUserLabel (Text
uid 4,0
va (VaSet
font "Arial,8,1"
)
xt "-3000,13000,800,14000"
st "Pre User:"
blo "-3000,13800"
)
preUserText (MLText
uid 5,0
va (VaSet
)
xt "-1000,14000,19800,18800"
st "constant signalBitNb: positive := 16;
constant phaseBitNb: positive := 17;
constant stepX: positive := 2;
constant stepY: positive := 3;"
tm "BdDeclarativeTextMgr"
)
diagSignalLabel (Text
uid 6,0
va (VaSet
font "Arial,8,1"
)
xt "-3000,18000,4100,19000"
st "Diagram Signals:"
blo "-3000,18800"
)
postUserLabel (Text
uid 7,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "-3000,6000,1700,7000"
st "Post User:"
blo "-3000,6800"
)
postUserText (MLText
uid 8,0
va (VaSet
isHidden 1
)
xt "-3000,6000,-3000,6000"
tm "BdDeclarativeTextMgr"
)
)
commonDM (CommonDM
ldm (LogicalDM
suid 19,0
usingSuid 1
emptyRow *103 (LEmptyRow
)
uid 1406,0
optionalChildren [
*104 (RefLabelRowHdr
)
*105 (TitleRowHdr
)
*106 (FilterRowHdr
)
*107 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*108 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*109 (GroupColHdr
tm "GroupColHdrMgr"
)
*110 (NameColHdr
tm "BlockDiagramNameColHdrMgr"
)
*111 (ModeColHdr
tm "BlockDiagramModeColHdrMgr"
)
*112 (TypeColHdr
tm "BlockDiagramTypeColHdrMgr"
)
*113 (BoundsColHdr
tm "BlockDiagramBoundsColHdrMgr"
)
*114 (InitColHdr
tm "BlockDiagramInitColHdrMgr"
)
*115 (EolColHdr
tm "BlockDiagramEolColHdrMgr"
)
*116 (LeafLogPort
port (LogicalPort
decl (Decl
n "clock"
t "std_ulogic"
o 1
suid 1,0
)
)
uid 1377,0
)
*117 (LeafLogPort
port (LogicalPort
m 4
decl (Decl
n "reset"
t "std_ulogic"
o 7
suid 2,0
)
)
uid 1379,0
)
*118 (LeafLogPort
port (LogicalPort
decl (Decl
n "reset_N"
t "std_ulogic"
o 2
suid 3,0
)
)
uid 1381,0
)
*119 (LeafLogPort
port (LogicalPort
m 1
decl (Decl
n "triggerOut"
t "std_ulogic"
o 3
suid 4,0
)
)
uid 1383,0
)
*120 (LeafLogPort
port (LogicalPort
m 1
decl (Decl
n "xOut"
t "std_ulogic"
o 4
suid 6,0
)
)
uid 1387,0
)
*121 (LeafLogPort
port (LogicalPort
m 1
decl (Decl
n "yOut"
t "std_ulogic"
o 5
suid 7,0
)
)
uid 1389,0
)
*122 (LeafLogPort
port (LogicalPort
m 4
decl (Decl
n "resetSnch_N"
t "std_ulogic"
o 8
suid 10,0
)
)
uid 1395,0
)
*123 (LeafLogPort
port (LogicalPort
m 4
decl (Decl
n "logic1"
t "std_uLogic"
o 6
suid 11,0
)
)
uid 1397,0
)
*124 (LeafLogPort
port (LogicalPort
m 4
decl (Decl
n "resetSynch"
t "std_ulogic"
o 9
suid 12,0
)
)
uid 1399,0
)
]
)
pdm (PhysicalDM
displayShortBounds 1
editShortBounds 1
uid 1419,0
optionalChildren [
*125 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *126 (MRCItem
litem &103
pos 9
dimension 20
)
uid 1421,0
optionalChildren [
*127 (MRCItem
litem &104
pos 0
dimension 20
uid 1422,0
)
*128 (MRCItem
litem &105
pos 1
dimension 23
uid 1423,0
)
*129 (MRCItem
litem &106
pos 2
hidden 1
dimension 20
uid 1424,0
)
*130 (MRCItem
litem &116
pos 0
dimension 20
uid 1378,0
)
*131 (MRCItem
litem &117
pos 5
dimension 20
uid 1380,0
)
*132 (MRCItem
litem &118
pos 1
dimension 20
uid 1382,0
)
*133 (MRCItem
litem &119
pos 2
dimension 20
uid 1384,0
)
*134 (MRCItem
litem &120
pos 3
dimension 20
uid 1388,0
)
*135 (MRCItem
litem &121
pos 4
dimension 20
uid 1390,0
)
*136 (MRCItem
litem &122
pos 6
dimension 20
uid 1396,0
)
*137 (MRCItem
litem &123
pos 7
dimension 20
uid 1398,0
)
*138 (MRCItem
litem &124
pos 8
dimension 20
uid 1400,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 1425,0
optionalChildren [
*139 (MRCItem
litem &107
pos 0
dimension 20
uid 1426,0
)
*140 (MRCItem
litem &109
pos 1
dimension 50
uid 1427,0
)
*141 (MRCItem
litem &110
pos 2
dimension 100
uid 1428,0
)
*142 (MRCItem
litem &111
pos 3
dimension 50
uid 1429,0
)
*143 (MRCItem
litem &112
pos 4
dimension 100
uid 1430,0
)
*144 (MRCItem
litem &113
pos 5
dimension 100
uid 1431,0
)
*145 (MRCItem
litem &114
pos 6
dimension 50
uid 1432,0
)
*146 (MRCItem
litem &115
pos 7
dimension 80
uid 1433,0
)
]
)
fixedCol 4
fixedRow 2
name "Ports"
uid 1420,0
vaOverrides [
]
)
]
)
uid 1405,0
)
genericsCommonDM (CommonDM
ldm (LogicalDM
emptyRow *147 (LEmptyRow
)
uid 1435,0
optionalChildren [
*148 (RefLabelRowHdr
)
*149 (TitleRowHdr
)
*150 (FilterRowHdr
)
*151 (RefLabelColHdr
tm "RefLabelColHdrMgr"
)
*152 (RowExpandColHdr
tm "RowExpandColHdrMgr"
)
*153 (GroupColHdr
tm "GroupColHdrMgr"
)
*154 (NameColHdr
tm "GenericNameColHdrMgr"
)
*155 (TypeColHdr
tm "GenericTypeColHdrMgr"
)
*156 (InitColHdr
tm "GenericValueColHdrMgr"
)
*157 (PragmaColHdr
tm "GenericPragmaColHdrMgr"
)
*158 (EolColHdr
tm "GenericEolColHdrMgr"
)
*159 (LogGeneric
generic (GiElement
name "bitNb"
type "positive"
value "16"
)
uid 1488,0
)
]
)
pdm (PhysicalDM
uid 1447,0
optionalChildren [
*160 (Sheet
sheetRow (SheetRow
headerVa (MVa
cellColor "49152,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
)
cellVa (MVa
cellColor "65535,65535,65535"
fontColor "0,0,0"
font "Tahoma,10,0"
)
groupVa (MVa
cellColor "39936,56832,65280"
fontColor "0,0,0"
font "Tahoma,10,0"
)
emptyMRCItem *161 (MRCItem
litem &147
pos 1
dimension 20
)
uid 1449,0
optionalChildren [
*162 (MRCItem
litem &148
pos 0
dimension 20
uid 1450,0
)
*163 (MRCItem
litem &149
pos 1
dimension 23
uid 1451,0
)
*164 (MRCItem
litem &150
pos 2
hidden 1
dimension 20
uid 1452,0
)
*165 (MRCItem
litem &159
pos 0
dimension 20
uid 1487,0
)
]
)
sheetCol (SheetCol
propVa (MVa
cellColor "0,49152,49152"
fontColor "0,0,0"
font "Tahoma,10,0"
textAngle 90
)
uid 1453,0
optionalChildren [
*166 (MRCItem
litem &151
pos 0
dimension 20
uid 1454,0
)
*167 (MRCItem
litem &153
pos 1
dimension 50
uid 1455,0
)
*168 (MRCItem
litem &154
pos 2
dimension 100
uid 1456,0
)
*169 (MRCItem
litem &155
pos 3
dimension 100
uid 1457,0
)
*170 (MRCItem
litem &156
pos 4
dimension 50
uid 1458,0
)
*171 (MRCItem
litem &157
pos 5
dimension 50
uid 1459,0
)
*172 (MRCItem
litem &158
pos 6
dimension 80
uid 1460,0
)
]
)
fixedCol 3
fixedRow 2
name "Ports"
uid 1448,0
vaOverrides [
]
)
]
)
uid 1434,0
type 1
)
activeModelName "BlockDiag"
)