add resize + tabel
This commit is contained in:
parent
73dd7da0db
commit
681c567da7
@ -6220,7 +6220,7 @@ yPos 0
|
|||||||
width 1936
|
width 1936
|
||||||
height 1056
|
height 1056
|
||||||
activeSidePanelTab 2
|
activeSidePanelTab 2
|
||||||
activeLibraryTab 2
|
activeLibraryTab 1
|
||||||
sidePanelSize 278
|
sidePanelSize 278
|
||||||
showUnixHiddenFiles 0
|
showUnixHiddenFiles 0
|
||||||
componentBrowserXpos 569
|
componentBrowserXpos 569
|
||||||
|
@ -6220,7 +6220,7 @@ yPos 0
|
|||||||
width 1936
|
width 1936
|
||||||
height 1056
|
height 1056
|
||||||
activeSidePanelTab 2
|
activeSidePanelTab 2
|
||||||
activeLibraryTab 2
|
activeLibraryTab 1
|
||||||
sidePanelSize 278
|
sidePanelSize 278
|
||||||
showUnixHiddenFiles 0
|
showUnixHiddenFiles 0
|
||||||
componentBrowserXpos 569
|
componentBrowserXpos 569
|
||||||
|
@ -1,8 +1,8 @@
|
|||||||
-- VHDL Entity WaveformGenerator.lowpass.symbol
|
-- VHDL Entity WaveformGenerator.lowpass.symbol
|
||||||
--
|
--
|
||||||
-- Created:
|
-- Created:
|
||||||
-- by - francois.francois (Aphelia)
|
-- by - remi.heredero.UNKNOWN (WE2330808)
|
||||||
-- at - 08:02:49 03/11/19
|
-- at - 15:16:08 01.03.2024
|
||||||
--
|
--
|
||||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
--
|
--
|
||||||
|
@ -2,8 +2,8 @@
|
|||||||
-- VHDL Architecture WaveformGenerator.waveformGen.struct
|
-- VHDL Architecture WaveformGenerator.waveformGen.struct
|
||||||
--
|
--
|
||||||
-- Created:
|
-- Created:
|
||||||
-- by - axel.amand.UNKNOWN (WE7860)
|
-- by - remi.heredero.UNKNOWN (WE2330808)
|
||||||
-- at - 14:40:08 28.04.2023
|
-- at - 15:15:34 01.03.2024
|
||||||
--
|
--
|
||||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
--
|
--
|
||||||
@ -29,7 +29,7 @@ ARCHITECTURE struct OF waveformGen IS
|
|||||||
COMPONENT lowpass
|
COMPONENT lowpass
|
||||||
GENERIC (
|
GENERIC (
|
||||||
signalBitNb : positive := 16;
|
signalBitNb : positive := 16;
|
||||||
shiftBitNb : positive := 12
|
shiftBitNb : positive := 6
|
||||||
);
|
);
|
||||||
PORT (
|
PORT (
|
||||||
lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
BIN
01-WaveformGenerator/WaveformGenerator/hds/.cache.dat
Normal file
BIN
01-WaveformGenerator/WaveformGenerator/hds/.cache.dat
Normal file
Binary file not shown.
@ -16,8 +16,8 @@ libraryRefs [
|
|||||||
"ieee"
|
"ieee"
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
version "26.1"
|
version "27.1"
|
||||||
appVersion "2018.1 (Build 12)"
|
appVersion "2019.2 (Build 5)"
|
||||||
model (Symbol
|
model (Symbol
|
||||||
commonDM (CommonDM
|
commonDM (CommonDM
|
||||||
ldm (LogicalDM
|
ldm (LogicalDM
|
||||||
@ -118,17 +118,17 @@ sheetRow (SheetRow
|
|||||||
headerVa (MVa
|
headerVa (MVa
|
||||||
cellColor "49152,49152,49152"
|
cellColor "49152,49152,49152"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
cellVa (MVa
|
cellVa (MVa
|
||||||
cellColor "65535,65535,65535"
|
cellColor "65535,65535,65535"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
groupVa (MVa
|
groupVa (MVa
|
||||||
cellColor "39936,56832,65280"
|
cellColor "39936,56832,65280"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
emptyMRCItem *19 (MRCItem
|
emptyMRCItem *19 (MRCItem
|
||||||
litem &1
|
litem &1
|
||||||
@ -186,7 +186,7 @@ sheetCol (SheetCol
|
|||||||
propVa (MVa
|
propVa (MVa
|
||||||
cellColor "0,49152,49152"
|
cellColor "0,49152,49152"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
textAngle 90
|
textAngle 90
|
||||||
)
|
)
|
||||||
uid 91,0
|
uid 91,0
|
||||||
@ -314,17 +314,17 @@ sheetRow (SheetRow
|
|||||||
headerVa (MVa
|
headerVa (MVa
|
||||||
cellColor "49152,49152,49152"
|
cellColor "49152,49152,49152"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
cellVa (MVa
|
cellVa (MVa
|
||||||
cellColor "65535,65535,65535"
|
cellColor "65535,65535,65535"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
groupVa (MVa
|
groupVa (MVa
|
||||||
cellColor "39936,56832,65280"
|
cellColor "39936,56832,65280"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
emptyMRCItem *50 (MRCItem
|
emptyMRCItem *50 (MRCItem
|
||||||
litem &35
|
litem &35
|
||||||
@ -370,7 +370,7 @@ sheetCol (SheetCol
|
|||||||
propVa (MVa
|
propVa (MVa
|
||||||
cellColor "0,49152,49152"
|
cellColor "0,49152,49152"
|
||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "courier,10,0"
|
font "Tahoma,10,0"
|
||||||
textAngle 90
|
textAngle 90
|
||||||
)
|
)
|
||||||
uid 122,0
|
uid 122,0
|
||||||
@ -439,23 +439,23 @@ value " "
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "HDLDir"
|
variable "HDLDir"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hdl"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "HDSDir"
|
variable "HDSDir"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SideDataDesignDir"
|
variable "SideDataDesignDir"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.info"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass\\symbol.sb.info"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SideDataUserDir"
|
variable "SideDataUserDir"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.user"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass\\symbol.sb.user"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SourceDir"
|
variable "SourceDir"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "appl"
|
variable "appl"
|
||||||
@ -479,27 +479,27 @@ value "%(unit)_%(view)_config"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "d"
|
variable "d"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "d_logical"
|
variable "d_logical"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "date"
|
variable "date"
|
||||||
value "03/11/19"
|
value "01.03.2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "day"
|
variable "day"
|
||||||
value "Mon"
|
value "ven."
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "day_long"
|
variable "day_long"
|
||||||
value "Monday"
|
value "vendredi"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "dd"
|
variable "dd"
|
||||||
value "11"
|
value "01"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "designName"
|
variable "designName"
|
||||||
@ -527,31 +527,31 @@ value "symbol"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_author"
|
variable "graphical_source_author"
|
||||||
value "francois"
|
value "remi.heredero"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_date"
|
variable "graphical_source_date"
|
||||||
value "03/11/19"
|
value "01.03.2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_group"
|
variable "graphical_source_group"
|
||||||
value "francois"
|
value "UNKNOWN"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_host"
|
variable "graphical_source_host"
|
||||||
value "Aphelia"
|
value "WE2330808"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_time"
|
variable "graphical_source_time"
|
||||||
value "08:02:49"
|
value "15:16:08"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "group"
|
variable "group"
|
||||||
value "francois"
|
value "UNKNOWN"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "host"
|
variable "host"
|
||||||
value "Aphelia"
|
value "WE2330808"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "language"
|
variable "language"
|
||||||
@ -587,19 +587,19 @@ value "lowpass"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "month"
|
variable "month"
|
||||||
value "Mar"
|
value "mars"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "month_long"
|
variable "month_long"
|
||||||
value "March"
|
value "mars"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "p"
|
variable "p"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass\\symbol.sb"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "p_logical"
|
variable "p_logical"
|
||||||
value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\lowpass\\symbol.sb"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "package_name"
|
variable "package_name"
|
||||||
@ -607,7 +607,7 @@ value "<Undefined Variable>"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "project_name"
|
variable "project_name"
|
||||||
value "waveformGenerator"
|
value "hds"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "series"
|
variable "series"
|
||||||
@ -675,7 +675,7 @@ value "symbol"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "time"
|
variable "time"
|
||||||
value "08:02:49"
|
value "15:16:08"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "unit"
|
variable "unit"
|
||||||
@ -683,11 +683,11 @@ value "lowpass"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "user"
|
variable "user"
|
||||||
value "francois"
|
value "remi.heredero"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "version"
|
variable "version"
|
||||||
value "2018.1 (Build 12)"
|
value "2019.2 (Build 5)"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "view"
|
variable "view"
|
||||||
@ -695,11 +695,11 @@ value "symbol"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "year"
|
variable "year"
|
||||||
value "2019"
|
value "2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "yy"
|
variable "yy"
|
||||||
value "19"
|
value "24"
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
@ -728,7 +728,6 @@ stg "VerticalLayoutStrategy"
|
|||||||
f (Text
|
f (Text
|
||||||
uid 55,0
|
uid 55,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,0"
|
|
||||||
)
|
)
|
||||||
xt "33000,17400,36400,18600"
|
xt "33000,17400,36400,18600"
|
||||||
st "clock"
|
st "clock"
|
||||||
@ -739,9 +738,9 @@ tm "CptPortNameMgr"
|
|||||||
dt (MLText
|
dt (MLText
|
||||||
uid 56,0
|
uid 56,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "2000,11900,19000,12800"
|
xt "2000,11900,15400,12900"
|
||||||
st "clock : IN std_ulogic ;"
|
st "clock : IN std_ulogic ;"
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -772,7 +771,6 @@ stg "RightVerticalLayoutStrategy"
|
|||||||
f (Text
|
f (Text
|
||||||
uid 60,0
|
uid 60,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,0"
|
|
||||||
)
|
)
|
||||||
xt "39700,13400,47000,14600"
|
xt "39700,13400,47000,14600"
|
||||||
st "lowpassOut"
|
st "lowpassOut"
|
||||||
@ -784,9 +782,9 @@ tm "CptPortNameMgr"
|
|||||||
dt (MLText
|
dt (MLText
|
||||||
uid 61,0
|
uid 61,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "2000,11000,30000,11900"
|
xt "2000,11000,28000,12000"
|
||||||
st "lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0) ;"
|
st "lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0) ;"
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -819,7 +817,6 @@ stg "VerticalLayoutStrategy"
|
|||||||
f (Text
|
f (Text
|
||||||
uid 79,0
|
uid 79,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,0"
|
|
||||||
)
|
)
|
||||||
xt "33000,19400,36300,20600"
|
xt "33000,19400,36300,20600"
|
||||||
st "reset"
|
st "reset"
|
||||||
@ -830,9 +827,9 @@ tm "CptPortNameMgr"
|
|||||||
dt (MLText
|
dt (MLText
|
||||||
uid 80,0
|
uid 80,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "2000,12800,19000,13700"
|
xt "2000,12800,15400,13800"
|
||||||
st "reset : IN std_ulogic ;"
|
st "reset : IN std_ulogic ;"
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -863,7 +860,6 @@ stg "VerticalLayoutStrategy"
|
|||||||
f (Text
|
f (Text
|
||||||
uid 86,0
|
uid 86,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,0"
|
|
||||||
)
|
)
|
||||||
xt "33000,13400,38800,14600"
|
xt "33000,13400,38800,14600"
|
||||||
st "lowpassIn"
|
st "lowpassIn"
|
||||||
@ -874,9 +870,9 @@ tm "CptPortNameMgr"
|
|||||||
dt (MLText
|
dt (MLText
|
||||||
uid 87,0
|
uid 87,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "2000,13700,29000,14600"
|
xt "2000,13700,26500,14700"
|
||||||
st "lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)"
|
st "lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)"
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -909,20 +905,20 @@ stg "VerticalLayoutStrategy"
|
|||||||
first (Text
|
first (Text
|
||||||
uid 11,0
|
uid 11,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,1"
|
font "Verdana,9,1"
|
||||||
)
|
)
|
||||||
xt "32600,21800,41600,22700"
|
xt "32600,21800,44100,23000"
|
||||||
st "WaveformGenerator"
|
st "WaveformGenerator"
|
||||||
blo "32600,22500"
|
blo "32600,22800"
|
||||||
)
|
)
|
||||||
second (Text
|
second (Text
|
||||||
uid 12,0
|
uid 12,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,1"
|
font "Verdana,9,1"
|
||||||
)
|
)
|
||||||
xt "32600,22700,36100,23600"
|
xt "32600,23000,37200,24200"
|
||||||
st "lowpass"
|
st "lowpass"
|
||||||
blo "32600,23400"
|
blo "32600,24000"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
gi *68 (GenericInterface
|
gi *68 (GenericInterface
|
||||||
@ -933,13 +929,14 @@ uid 14,0
|
|||||||
text (MLText
|
text (MLText
|
||||||
uid 15,0
|
uid 15,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "32000,25600,45000,29200"
|
xt "32000,25600,43200,29600"
|
||||||
st "Generic Declarations
|
st "Generic Declarations
|
||||||
|
|
||||||
signalBitNb positive 16
|
signalBitNb positive 16
|
||||||
shiftBitNb positive 12 "
|
shiftBitNb positive 12
|
||||||
|
"
|
||||||
)
|
)
|
||||||
header "Generic Declarations"
|
header "Generic Declarations"
|
||||||
showHdrWhenContentsEmpty 1
|
showHdrWhenContentsEmpty 1
|
||||||
@ -987,7 +984,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "36200,48000,50600,49000"
|
xt "36200,48500,36200,48500"
|
||||||
st "
|
st "
|
||||||
by %user on %dd %month %year
|
by %user on %dd %month %year
|
||||||
"
|
"
|
||||||
@ -1017,7 +1014,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "53200,44000,56800,45000"
|
xt "53200,44500,53200,44500"
|
||||||
st "
|
st "
|
||||||
Project:
|
Project:
|
||||||
"
|
"
|
||||||
@ -1047,7 +1044,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "36200,46000,52400,47000"
|
xt "36200,46500,36200,46500"
|
||||||
st "
|
st "
|
||||||
<enter diagram title here>
|
<enter diagram title here>
|
||||||
"
|
"
|
||||||
@ -1077,7 +1074,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "32200,46000,35800,47000"
|
xt "32200,46500,32200,46500"
|
||||||
st "
|
st "
|
||||||
Title:
|
Title:
|
||||||
"
|
"
|
||||||
@ -1107,7 +1104,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "53200,45200,66400,46200"
|
xt "53200,45200,67300,46400"
|
||||||
st "
|
st "
|
||||||
<enter comments here>
|
<enter comments here>
|
||||||
"
|
"
|
||||||
@ -1136,7 +1133,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "57200,44000,72800,45000"
|
xt "57200,44500,57200,44500"
|
||||||
st "
|
st "
|
||||||
<enter project name here>
|
<enter project name here>
|
||||||
"
|
"
|
||||||
@ -1165,7 +1162,7 @@ uid 38,0
|
|||||||
va (VaSet
|
va (VaSet
|
||||||
fg "32768,0,0"
|
fg "32768,0,0"
|
||||||
)
|
)
|
||||||
xt "38000,44500,47000,45500"
|
xt "37350,44400,47650,45600"
|
||||||
st "
|
st "
|
||||||
<company name>
|
<company name>
|
||||||
"
|
"
|
||||||
@ -1196,7 +1193,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "32200,47000,35200,48000"
|
xt "32200,47500,32200,47500"
|
||||||
st "
|
st "
|
||||||
Path:
|
Path:
|
||||||
"
|
"
|
||||||
@ -1226,7 +1223,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "32200,48000,35800,49000"
|
xt "32200,48500,32200,48500"
|
||||||
st "
|
st "
|
||||||
Edited:
|
Edited:
|
||||||
"
|
"
|
||||||
@ -1256,7 +1253,7 @@ va (VaSet
|
|||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
bg "0,0,32768"
|
bg "0,0,32768"
|
||||||
)
|
)
|
||||||
xt "36200,47000,52400,48000"
|
xt "36200,47500,36200,47500"
|
||||||
st "
|
st "
|
||||||
%library/%unit/%view
|
%library/%unit/%view
|
||||||
"
|
"
|
||||||
@ -1300,9 +1297,9 @@ textVec [
|
|||||||
*81 (Text
|
*81 (Text
|
||||||
uid 49,0
|
uid 49,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,1"
|
font "Verdana,8,1"
|
||||||
)
|
)
|
||||||
xt "0,0,5400,1000"
|
xt "0,0,6900,1000"
|
||||||
st "Package List"
|
st "Package List"
|
||||||
blo "0,800"
|
blo "0,800"
|
||||||
)
|
)
|
||||||
@ -1310,7 +1307,7 @@ blo "0,800"
|
|||||||
uid 50,0
|
uid 50,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "0,1000,18600,4000"
|
xt "0,1000,17500,4600"
|
||||||
st "LIBRARY ieee;
|
st "LIBRARY ieee;
|
||||||
USE ieee.std_logic_1164.all;
|
USE ieee.std_logic_1164.all;
|
||||||
USE ieee.numeric_std.all;"
|
USE ieee.numeric_std.all;"
|
||||||
@ -1318,17 +1315,19 @@ tm "PackageList"
|
|||||||
)
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
windowSize "2,35,1387,985"
|
windowSize "2,35,1389,985"
|
||||||
viewArea "-1070,-1070,74579,51352"
|
viewArea "-1100,-1100,74235,50510"
|
||||||
cachedDiagramExtent "0,0,73000,49000"
|
cachedDiagramExtent "0,0,73000,49000"
|
||||||
pageSetupInfo (PageSetupInfo
|
pageSetupInfo (PageSetupInfo
|
||||||
ptrCmd ""
|
ptrCmd ""
|
||||||
toPrinter 1
|
toPrinter 1
|
||||||
xMargin 49
|
xMargin 49
|
||||||
yMargin 49
|
yMargin 49
|
||||||
|
paperWidth 761
|
||||||
|
paperHeight 1077
|
||||||
windowsPaperWidth 761
|
windowsPaperWidth 761
|
||||||
windowsPaperHeight 1077
|
windowsPaperHeight 1077
|
||||||
paperType "Letter (8.5\" x 11\")"
|
paperType "A4"
|
||||||
windowsPaperName "A4"
|
windowsPaperName "A4"
|
||||||
exportedDirectories [
|
exportedDirectories [
|
||||||
"$HDS_PROJECT_DIR/HTMLExport"
|
"$HDS_PROJECT_DIR/HTMLExport"
|
||||||
@ -1350,9 +1349,8 @@ xt "0,0,15000,5000"
|
|||||||
text (MLText
|
text (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
font "courier,9,0"
|
|
||||||
)
|
)
|
||||||
xt "200,200,2200,1100"
|
xt "200,200,3200,1400"
|
||||||
st "
|
st "
|
||||||
Text
|
Text
|
||||||
"
|
"
|
||||||
@ -1378,9 +1376,9 @@ autoResize 1
|
|||||||
text (MLText
|
text (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
fg "0,0,32768"
|
fg "0,0,32768"
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "450,2150,1450,3050"
|
xt "450,2150,1450,3150"
|
||||||
st "
|
st "
|
||||||
Text
|
Text
|
||||||
"
|
"
|
||||||
@ -1404,7 +1402,7 @@ title (TextAssociate
|
|||||||
ps "TopLeftStrategy"
|
ps "TopLeftStrategy"
|
||||||
text (Text
|
text (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,1"
|
font "Verdana,9,1"
|
||||||
)
|
)
|
||||||
xt "1000,1000,4400,2200"
|
xt "1000,1000,4400,2200"
|
||||||
st "Panel0"
|
st "Panel0"
|
||||||
@ -1433,7 +1431,7 @@ ps "CenterOffsetStrategy"
|
|||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
first (Text
|
first (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,1"
|
font "Verdana,9,1"
|
||||||
)
|
)
|
||||||
xt "22600,14800,27400,16000"
|
xt "22600,14800,27400,16000"
|
||||||
st "<library>"
|
st "<library>"
|
||||||
@ -1441,7 +1439,7 @@ blo "22600,15800"
|
|||||||
)
|
)
|
||||||
second (Text
|
second (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,9,1"
|
font "Verdana,9,1"
|
||||||
)
|
)
|
||||||
xt "22600,16000,25900,17200"
|
xt "22600,16000,25900,17200"
|
||||||
st "<cell>"
|
st "<cell>"
|
||||||
@ -1454,7 +1452,7 @@ matrix (Matrix
|
|||||||
text (MLText
|
text (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "0,12000,0,12000"
|
xt "0,12000,0,12000"
|
||||||
)
|
)
|
||||||
@ -1482,7 +1480,7 @@ ps "CptPortTextPlaceStrategy"
|
|||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
f (Text
|
f (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "0,750,1500,1650"
|
xt "0,750,1500,1650"
|
||||||
st "In0"
|
st "In0"
|
||||||
@ -1492,7 +1490,7 @@ tm "CptPortNameMgr"
|
|||||||
)
|
)
|
||||||
dt (MLText
|
dt (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1520,7 +1518,7 @@ ps "CptPortTextPlaceStrategy"
|
|||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
f (Text
|
f (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "0,750,3500,1650"
|
xt "0,750,3500,1650"
|
||||||
st "Buffer0"
|
st "Buffer0"
|
||||||
@ -1530,7 +1528,7 @@ tm "CptPortNameMgr"
|
|||||||
)
|
)
|
||||||
dt (MLText
|
dt (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1550,44 +1548,44 @@ stg "SymDeclLayoutStrategy"
|
|||||||
declLabel (Text
|
declLabel (Text
|
||||||
uid 2,0
|
uid 2,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,1"
|
font "Verdana,8,1"
|
||||||
)
|
)
|
||||||
xt "0,9000,5400,10000"
|
xt "0,9000,7000,10000"
|
||||||
st "Declarations"
|
st "Declarations"
|
||||||
blo "0,9800"
|
blo "0,9800"
|
||||||
)
|
)
|
||||||
portLabel (Text
|
portLabel (Text
|
||||||
uid 3,0
|
uid 3,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,1"
|
font "Verdana,8,1"
|
||||||
)
|
)
|
||||||
xt "0,10000,2700,11000"
|
xt "0,10000,3400,11000"
|
||||||
st "Ports:"
|
st "Ports:"
|
||||||
blo "0,10800"
|
blo "0,10800"
|
||||||
)
|
)
|
||||||
externalLabel (Text
|
externalLabel (Text
|
||||||
uid 4,0
|
uid 4,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,1"
|
font "Verdana,8,1"
|
||||||
)
|
)
|
||||||
xt "0,14600,2500,15500"
|
xt "0,14600,3000,15600"
|
||||||
st "User:"
|
st "User:"
|
||||||
blo "0,15300"
|
blo "0,15400"
|
||||||
)
|
)
|
||||||
internalLabel (Text
|
internalLabel (Text
|
||||||
uid 6,0
|
uid 6,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
font "courier,8,1"
|
font "Verdana,8,1"
|
||||||
)
|
)
|
||||||
xt "0,9000,5800,10000"
|
xt "0,9000,7600,10000"
|
||||||
st "Internal User:"
|
st "Internal User:"
|
||||||
blo "0,9800"
|
blo "0,9800"
|
||||||
)
|
)
|
||||||
externalText (MLText
|
externalText (MLText
|
||||||
uid 5,0
|
uid 5,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "2000,15500,2000,15500"
|
xt "2000,15500,2000,15500"
|
||||||
tm "SyDeclarativeTextMgr"
|
tm "SyDeclarativeTextMgr"
|
||||||
@ -1596,12 +1594,12 @@ internalText (MLText
|
|||||||
uid 7,0
|
uid 7,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
font "courier,8,0"
|
font "Verdana,8,0"
|
||||||
)
|
)
|
||||||
xt "0,9000,0,9000"
|
xt "0,9000,0,9000"
|
||||||
tm "SyDeclarativeTextMgr"
|
tm "SyDeclarativeTextMgr"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
lastUid 181,0
|
lastUid 227,0
|
||||||
activeModelName "Symbol"
|
activeModelName "Symbol:GEN"
|
||||||
)
|
)
|
||||||
|
1604
01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb.bak
Normal file
1604
01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb.bak
Normal file
File diff suppressed because it is too large
Load Diff
@ -68,7 +68,7 @@ value "signalBitNb"
|
|||||||
(GiElement
|
(GiElement
|
||||||
name "shiftBitNb"
|
name "shiftBitNb"
|
||||||
type "positive"
|
type "positive"
|
||||||
value "10"
|
value "5"
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
mwi 0
|
mwi 0
|
||||||
@ -105,23 +105,23 @@ value " "
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "HDLDir"
|
variable "HDLDir"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hdl"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hdl"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "HDSDir"
|
variable "HDSDir"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SideDataDesignDir"
|
variable "SideDataDesignDir"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.info"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.info"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SideDataUserDir"
|
variable "SideDataUserDir"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.user"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.user"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "SourceDir"
|
variable "SourceDir"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "appl"
|
variable "appl"
|
||||||
@ -145,15 +145,15 @@ value "%(unit)_%(view)_config"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "d"
|
variable "d"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "d_logical"
|
variable "d_logical"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "date"
|
variable "date"
|
||||||
value "28.04.2023"
|
value "01.03.2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "day"
|
variable "day"
|
||||||
@ -165,7 +165,7 @@ value "vendredi"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "dd"
|
variable "dd"
|
||||||
value "28"
|
value "01"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "designName"
|
variable "designName"
|
||||||
@ -193,11 +193,11 @@ value "struct"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_author"
|
variable "graphical_source_author"
|
||||||
value "axel.amand"
|
value "remi.heredero"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_date"
|
variable "graphical_source_date"
|
||||||
value "28.04.2023"
|
value "01.03.2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_group"
|
variable "graphical_source_group"
|
||||||
@ -205,11 +205,11 @@ value "UNKNOWN"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_host"
|
variable "graphical_source_host"
|
||||||
value "WE7860"
|
value "WE2330808"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_time"
|
variable "graphical_source_time"
|
||||||
value "14:40:08"
|
value "15:15:34"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "group"
|
variable "group"
|
||||||
@ -217,7 +217,7 @@ value "UNKNOWN"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "host"
|
variable "host"
|
||||||
value "WE7860"
|
value "WE2330808"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "language"
|
variable "language"
|
||||||
@ -245,7 +245,7 @@ value "U:\\SEm_curves\\Synthesis"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "mm"
|
variable "mm"
|
||||||
value "04"
|
value "03"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "module_name"
|
variable "module_name"
|
||||||
@ -253,19 +253,19 @@ value "waveformGen"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "month"
|
variable "month"
|
||||||
value "avr."
|
value "mars"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "month_long"
|
variable "month_long"
|
||||||
value "avril"
|
value "mars"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "p"
|
variable "p"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "p_logical"
|
variable "p_logical"
|
||||||
value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen\\struct.bd"
|
value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen\\struct.bd"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "package_name"
|
variable "package_name"
|
||||||
@ -341,7 +341,7 @@ value "struct"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "time"
|
variable "time"
|
||||||
value "14:40:08"
|
value "15:15:34"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "unit"
|
variable "unit"
|
||||||
@ -349,7 +349,7 @@ value "waveformGen"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "user"
|
variable "user"
|
||||||
value "axel.amand"
|
value "remi.heredero"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "version"
|
variable "version"
|
||||||
@ -361,11 +361,11 @@ value "struct"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "year"
|
variable "year"
|
||||||
value "2023"
|
value "2024"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "yy"
|
variable "yy"
|
||||||
value "23"
|
value "24"
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
@ -1726,9 +1726,9 @@ uid 1023,0
|
|||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
xt "81000,46400,83500,47300"
|
xt "81000,46400,83700,47600"
|
||||||
st "clock"
|
st "clock"
|
||||||
blo "81000,47100"
|
blo "81000,47300"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1761,10 +1761,10 @@ uid 1027,0
|
|||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
xt "89500,42400,95000,43300"
|
xt "89200,42400,95000,43600"
|
||||||
st "lowpassOut"
|
st "lowpassOut"
|
||||||
ju 2
|
ju 2
|
||||||
blo "95000,43100"
|
blo "95000,43300"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1799,9 +1799,9 @@ uid 1031,0
|
|||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
xt "81000,48400,83500,49300"
|
xt "81000,48400,83600,49600"
|
||||||
st "reset"
|
st "reset"
|
||||||
blo "81000,49100"
|
blo "81000,49300"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1834,9 +1834,9 @@ uid 1035,0
|
|||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
xt "81000,42400,85500,43300"
|
xt "81000,42400,85600,43600"
|
||||||
st "lowpassIn"
|
st "lowpassIn"
|
||||||
blo "81000,43100"
|
blo "81000,43300"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
thePort (LogicalPort
|
thePort (LogicalPort
|
||||||
@ -1910,7 +1910,8 @@ va (VaSet
|
|||||||
)
|
)
|
||||||
xt "80000,54600,102900,57000"
|
xt "80000,54600,102900,57000"
|
||||||
st "signalBitNb = signalBitNb ( positive )
|
st "signalBitNb = signalBitNb ( positive )
|
||||||
shiftBitNb = 10 ( positive ) "
|
shiftBitNb = 5 ( positive )
|
||||||
|
"
|
||||||
)
|
)
|
||||||
header ""
|
header ""
|
||||||
)
|
)
|
||||||
@ -1923,7 +1924,7 @@ value "signalBitNb"
|
|||||||
(GiElement
|
(GiElement
|
||||||
name "shiftBitNb"
|
name "shiftBitNb"
|
||||||
type "positive"
|
type "positive"
|
||||||
value "10"
|
value "5"
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
@ -2954,8 +2955,8 @@ tm "BdCompilerDirectivesTextMgr"
|
|||||||
]
|
]
|
||||||
associable 1
|
associable 1
|
||||||
)
|
)
|
||||||
windowSize "-8,-8,1928,1048"
|
windowSize "0,0,1921,1056"
|
||||||
viewArea "-4571,-1604,138105,75916"
|
viewArea "-4600,-1600,137612,74000"
|
||||||
cachedDiagramExtent "-24700,0,129400,74000"
|
cachedDiagramExtent "-24700,0,129400,74000"
|
||||||
pageSetupInfo (PageSetupInfo
|
pageSetupInfo (PageSetupInfo
|
||||||
ptrCmd ""
|
ptrCmd ""
|
||||||
@ -2979,7 +2980,7 @@ boundaryWidth 0
|
|||||||
)
|
)
|
||||||
hasePageBreakOrigin 1
|
hasePageBreakOrigin 1
|
||||||
pageBreakOrigin "-3000,0"
|
pageBreakOrigin "-3000,0"
|
||||||
lastUid 1289,0
|
lastUid 1316,0
|
||||||
defaultCommentText (CommentText
|
defaultCommentText (CommentText
|
||||||
shape (Rectangle
|
shape (Rectangle
|
||||||
layer 0
|
layer 0
|
||||||
|
File diff suppressed because it is too large
Load Diff
@ -1,6 +0,0 @@
|
|||||||
EDIT_LOCK
|
|
||||||
remi.heredero
|
|
||||||
UNKNOWN
|
|
||||||
WE2330808
|
|
||||||
15212
|
|
||||||
01.03.2024-14:26:40.915000
|
|
@ -3,7 +3,7 @@
|
|||||||
--
|
--
|
||||||
-- Created:
|
-- Created:
|
||||||
-- by - remi.heredero.UNKNOWN (WE2330808)
|
-- by - remi.heredero.UNKNOWN (WE2330808)
|
||||||
-- at - 14:26:40 01.03.2024
|
-- at - 15:12:57 01.03.2024
|
||||||
--
|
--
|
||||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
--
|
--
|
||||||
@ -20,8 +20,8 @@ ARCHITECTURE struct OF waveformGen_tb IS
|
|||||||
constant bitNb: positive := 16;
|
constant bitNb: positive := 16;
|
||||||
constant signalBitNb: positive := 16;
|
constant signalBitNb: positive := 16;
|
||||||
constant phaseBitNb: positive := 16;
|
constant phaseBitNb: positive := 16;
|
||||||
constant clockFrequency: real := 60.0E6;
|
--constant clockFrequency: real := 60.0E6;
|
||||||
--constant clockFrequency: real := 66.0E6;
|
constant clockFrequency: real := 66.0E6;
|
||||||
|
|
||||||
-- Internal signal declarations
|
-- Internal signal declarations
|
||||||
SIGNAL clock : std_ulogic;
|
SIGNAL clock : std_ulogic;
|
||||||
|
BIN
01-WaveformGenerator/WaveformGenerator_test/hds/.cache.dat
Normal file
BIN
01-WaveformGenerator/WaveformGenerator_test/hds/.cache.dat
Normal file
Binary file not shown.
@ -173,7 +173,7 @@ value "WE2330808"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_time"
|
variable "graphical_source_time"
|
||||||
value "14:26:40"
|
value "15:12:57"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "group"
|
variable "group"
|
||||||
@ -301,7 +301,7 @@ value "struct"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "time"
|
variable "time"
|
||||||
value "14:26:40"
|
value "15:12:57"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "unit"
|
variable "unit"
|
||||||
@ -2743,8 +2743,9 @@ xt "2000,7700,26900,13700"
|
|||||||
st "constant bitNb: positive := 16;
|
st "constant bitNb: positive := 16;
|
||||||
constant signalBitNb: positive := 16;
|
constant signalBitNb: positive := 16;
|
||||||
constant phaseBitNb: positive := 16;
|
constant phaseBitNb: positive := 16;
|
||||||
constant clockFrequency: real := 60.0E6;
|
--constant clockFrequency: real := 60.0E6;
|
||||||
--constant clockFrequency: real := 66.0E6;"
|
constant clockFrequency: real := 66.0E6;
|
||||||
|
"
|
||||||
tm "BdDeclarativeTextMgr"
|
tm "BdDeclarativeTextMgr"
|
||||||
)
|
)
|
||||||
diagSignalLabel (Text
|
diagSignalLabel (Text
|
||||||
|
@ -173,7 +173,7 @@ value "WE2330808"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "graphical_source_time"
|
variable "graphical_source_time"
|
||||||
value "13:13:41"
|
value "14:26:40"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "group"
|
variable "group"
|
||||||
@ -301,7 +301,7 @@ value "struct"
|
|||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "time"
|
variable "time"
|
||||||
value "13:13:41"
|
value "14:26:40"
|
||||||
)
|
)
|
||||||
(vvPair
|
(vvPair
|
||||||
variable "unit"
|
variable "unit"
|
||||||
@ -771,7 +771,7 @@ declText (MLText
|
|||||||
uid 595,0
|
uid 595,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "2000,21800,29200,23000"
|
xt "2000,23000,29200,24200"
|
||||||
st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0)
|
st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0)
|
||||||
"
|
"
|
||||||
)
|
)
|
||||||
@ -1241,7 +1241,7 @@ declText (MLText
|
|||||||
uid 1264,0
|
uid 1264,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "2000,20600,32900,21800"
|
xt "2000,21800,32900,23000"
|
||||||
st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0)
|
st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0)
|
||||||
"
|
"
|
||||||
)
|
)
|
||||||
@ -1259,7 +1259,7 @@ declText (MLText
|
|||||||
uid 1309,0
|
uid 1309,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "2000,23000,32700,24200"
|
xt "2000,24200,32700,25400"
|
||||||
st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0)
|
st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0)
|
||||||
"
|
"
|
||||||
)
|
)
|
||||||
@ -1282,7 +1282,25 @@ st "SIGNAL polygon : unsigned(signalBitNb-1 DOWNTO 0)
|
|||||||
"
|
"
|
||||||
)
|
)
|
||||||
)
|
)
|
||||||
*37 (Wire
|
*37 (Net
|
||||||
|
uid 1404,0
|
||||||
|
decl (Decl
|
||||||
|
n "sine"
|
||||||
|
t "unsigned"
|
||||||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||||||
|
o 9
|
||||||
|
suid 13,0
|
||||||
|
)
|
||||||
|
declText (MLText
|
||||||
|
uid 1405,0
|
||||||
|
va (VaSet
|
||||||
|
)
|
||||||
|
xt "2000,20600,32200,21800"
|
||||||
|
st "SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0)
|
||||||
|
"
|
||||||
|
)
|
||||||
|
)
|
||||||
|
*38 (Wire
|
||||||
uid 47,0
|
uid 47,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 48,0
|
uid 48,0
|
||||||
@ -1321,7 +1339,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &1
|
on &1
|
||||||
)
|
)
|
||||||
*38 (Wire
|
*39 (Wire
|
||||||
uid 55,0
|
uid 55,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 56,0
|
uid 56,0
|
||||||
@ -1360,7 +1378,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &2
|
on &2
|
||||||
)
|
)
|
||||||
*39 (Wire
|
*40 (Wire
|
||||||
uid 596,0
|
uid 596,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 597,0
|
uid 597,0
|
||||||
@ -1401,7 +1419,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &18
|
on &18
|
||||||
)
|
)
|
||||||
*40 (Wire
|
*41 (Wire
|
||||||
uid 702,0
|
uid 702,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 703,0
|
uid 703,0
|
||||||
@ -1440,7 +1458,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &19
|
on &19
|
||||||
)
|
)
|
||||||
*41 (Wire
|
*42 (Wire
|
||||||
uid 1182,0
|
uid 1182,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 1183,0
|
uid 1183,0
|
||||||
@ -1481,7 +1499,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &33
|
on &33
|
||||||
)
|
)
|
||||||
*42 (Wire
|
*43 (Wire
|
||||||
uid 1265,0
|
uid 1265,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 1266,0
|
uid 1266,0
|
||||||
@ -1522,7 +1540,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &34
|
on &34
|
||||||
)
|
)
|
||||||
*43 (Wire
|
*44 (Wire
|
||||||
uid 1310,0
|
uid 1310,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 1311,0
|
uid 1311,0
|
||||||
@ -1563,7 +1581,7 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &35
|
on &35
|
||||||
)
|
)
|
||||||
*44 (Wire
|
*45 (Wire
|
||||||
uid 1357,0
|
uid 1357,0
|
||||||
shape (OrthoPolyLine
|
shape (OrthoPolyLine
|
||||||
uid 1358,0
|
uid 1358,0
|
||||||
@ -1604,6 +1622,47 @@ tm "WireNameMgr"
|
|||||||
)
|
)
|
||||||
on &36
|
on &36
|
||||||
)
|
)
|
||||||
|
*46 (Wire
|
||||||
|
uid 1406,0
|
||||||
|
shape (OrthoPolyLine
|
||||||
|
uid 1407,0
|
||||||
|
va (VaSet
|
||||||
|
vasetType 3
|
||||||
|
lineWidth 2
|
||||||
|
)
|
||||||
|
xt "55750,26000,58000,38000"
|
||||||
|
pts [
|
||||||
|
"55750,26000"
|
||||||
|
"58000,26000"
|
||||||
|
"58000,38000"
|
||||||
|
]
|
||||||
|
)
|
||||||
|
start &25
|
||||||
|
end &14
|
||||||
|
sat 32
|
||||||
|
eat 1
|
||||||
|
sty 1
|
||||||
|
stc 0
|
||||||
|
st 0
|
||||||
|
sf 1
|
||||||
|
si 0
|
||||||
|
tg (WTG
|
||||||
|
uid 1410,0
|
||||||
|
ps "ConnStartEndStrategy"
|
||||||
|
stg "STSignalDisplayStrategy"
|
||||||
|
f (Text
|
||||||
|
uid 1411,0
|
||||||
|
va (VaSet
|
||||||
|
font "Arial,12,0"
|
||||||
|
)
|
||||||
|
xt "57750,24500,60850,26000"
|
||||||
|
st "sine"
|
||||||
|
blo "57750,25700"
|
||||||
|
tm "WireNameMgr"
|
||||||
|
)
|
||||||
|
)
|
||||||
|
on &37
|
||||||
|
)
|
||||||
]
|
]
|
||||||
bg "65535,65535,65535"
|
bg "65535,65535,65535"
|
||||||
grid (Grid
|
grid (Grid
|
||||||
@ -1616,11 +1675,11 @@ xShown 1
|
|||||||
yShown 1
|
yShown 1
|
||||||
color "26368,26368,26368"
|
color "26368,26368,26368"
|
||||||
)
|
)
|
||||||
packageList *45 (PackageList
|
packageList *47 (PackageList
|
||||||
uid 142,0
|
uid 142,0
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*46 (Text
|
*48 (Text
|
||||||
uid 143,0
|
uid 143,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,8,1"
|
font "Arial,8,1"
|
||||||
@ -1629,7 +1688,7 @@ xt "0,0,6500,900"
|
|||||||
st "Package List"
|
st "Package List"
|
||||||
blo "0,700"
|
blo "0,700"
|
||||||
)
|
)
|
||||||
*47 (MLText
|
*49 (MLText
|
||||||
uid 144,0
|
uid 144,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
@ -1645,7 +1704,7 @@ compDirBlock (MlTextGroup
|
|||||||
uid 145,0
|
uid 145,0
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*48 (Text
|
*50 (Text
|
||||||
uid 146,0
|
uid 146,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1655,7 +1714,7 @@ xt "20000,0,30000,900"
|
|||||||
st "Compiler Directives"
|
st "Compiler Directives"
|
||||||
blo "20000,700"
|
blo "20000,700"
|
||||||
)
|
)
|
||||||
*49 (Text
|
*51 (Text
|
||||||
uid 147,0
|
uid 147,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1665,7 +1724,7 @@ xt "20000,1000,31500,1900"
|
|||||||
st "Pre-module directives:"
|
st "Pre-module directives:"
|
||||||
blo "20000,1700"
|
blo "20000,1700"
|
||||||
)
|
)
|
||||||
*50 (MLText
|
*52 (MLText
|
||||||
uid 148,0
|
uid 148,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1675,7 +1734,7 @@ st "`resetall
|
|||||||
`timescale 1ns/10ps"
|
`timescale 1ns/10ps"
|
||||||
tm "BdCompilerDirectivesTextMgr"
|
tm "BdCompilerDirectivesTextMgr"
|
||||||
)
|
)
|
||||||
*51 (Text
|
*53 (Text
|
||||||
uid 149,0
|
uid 149,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1685,7 +1744,7 @@ xt "20000,4000,32000,4900"
|
|||||||
st "Post-module directives:"
|
st "Post-module directives:"
|
||||||
blo "20000,4700"
|
blo "20000,4700"
|
||||||
)
|
)
|
||||||
*52 (MLText
|
*54 (MLText
|
||||||
uid 150,0
|
uid 150,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1693,7 +1752,7 @@ isHidden 1
|
|||||||
xt "20000,0,20000,0"
|
xt "20000,0,20000,0"
|
||||||
tm "BdCompilerDirectivesTextMgr"
|
tm "BdCompilerDirectivesTextMgr"
|
||||||
)
|
)
|
||||||
*53 (Text
|
*55 (Text
|
||||||
uid 151,0
|
uid 151,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1703,7 +1762,7 @@ xt "20000,5000,31500,5900"
|
|||||||
st "End-module directives:"
|
st "End-module directives:"
|
||||||
blo "20000,5700"
|
blo "20000,5700"
|
||||||
)
|
)
|
||||||
*54 (MLText
|
*56 (MLText
|
||||||
uid 152,0
|
uid 152,0
|
||||||
va (VaSet
|
va (VaSet
|
||||||
isHidden 1
|
isHidden 1
|
||||||
@ -1714,7 +1773,7 @@ tm "BdCompilerDirectivesTextMgr"
|
|||||||
]
|
]
|
||||||
associable 1
|
associable 1
|
||||||
)
|
)
|
||||||
windowSize "0,0,1921,1056"
|
windowSize "0,24,1921,1080"
|
||||||
viewArea "-1200,4500,107152,62100"
|
viewArea "-1200,4500,107152,62100"
|
||||||
cachedDiagramExtent "0,0,81000,55000"
|
cachedDiagramExtent "0,0,81000,55000"
|
||||||
pageSetupInfo (PageSetupInfo
|
pageSetupInfo (PageSetupInfo
|
||||||
@ -1740,7 +1799,7 @@ boundaryWidth 0
|
|||||||
)
|
)
|
||||||
hasePageBreakOrigin 1
|
hasePageBreakOrigin 1
|
||||||
pageBreakOrigin "0,0"
|
pageBreakOrigin "0,0"
|
||||||
lastUid 1364,0
|
lastUid 1413,0
|
||||||
defaultCommentText (CommentText
|
defaultCommentText (CommentText
|
||||||
shape (Rectangle
|
shape (Rectangle
|
||||||
layer 0
|
layer 0
|
||||||
@ -1827,7 +1886,7 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*55 (Text
|
*57 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
@ -1836,7 +1895,7 @@ st "<library>"
|
|||||||
blo "1700,4200"
|
blo "1700,4200"
|
||||||
tm "BdLibraryNameMgr"
|
tm "BdLibraryNameMgr"
|
||||||
)
|
)
|
||||||
*56 (Text
|
*58 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
@ -1845,7 +1904,7 @@ st "<block>"
|
|||||||
blo "1700,5400"
|
blo "1700,5400"
|
||||||
tm "BlkNameMgr"
|
tm "BlkNameMgr"
|
||||||
)
|
)
|
||||||
*57 (Text
|
*59 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,9,0"
|
font "Arial,9,0"
|
||||||
)
|
)
|
||||||
@ -1883,21 +1942,21 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*58 (Text
|
*60 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1000,3500,3300,4500"
|
xt "1000,3500,3300,4500"
|
||||||
st "Library"
|
st "Library"
|
||||||
blo "1000,4300"
|
blo "1000,4300"
|
||||||
)
|
)
|
||||||
*59 (Text
|
*61 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1000,4500,7000,5500"
|
xt "1000,4500,7000,5500"
|
||||||
st "MWComponent"
|
st "MWComponent"
|
||||||
blo "1000,5300"
|
blo "1000,5300"
|
||||||
)
|
)
|
||||||
*60 (Text
|
*62 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1000,5500,1600,6500"
|
xt "1000,5500,1600,6500"
|
||||||
@ -1941,7 +2000,7 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*61 (Text
|
*63 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1250,3500,3550,4500"
|
xt "1250,3500,3550,4500"
|
||||||
@ -1949,7 +2008,7 @@ st "Library"
|
|||||||
blo "1250,4300"
|
blo "1250,4300"
|
||||||
tm "BdLibraryNameMgr"
|
tm "BdLibraryNameMgr"
|
||||||
)
|
)
|
||||||
*62 (Text
|
*64 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1250,4500,6750,5500"
|
xt "1250,4500,6750,5500"
|
||||||
@ -1957,7 +2016,7 @@ st "SaComponent"
|
|||||||
blo "1250,5300"
|
blo "1250,5300"
|
||||||
tm "CptNameMgr"
|
tm "CptNameMgr"
|
||||||
)
|
)
|
||||||
*63 (Text
|
*65 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "1250,5500,1850,6500"
|
xt "1250,5500,1850,6500"
|
||||||
@ -1995,21 +2054,21 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*64 (Text
|
*66 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "950,3500,3250,4500"
|
xt "950,3500,3250,4500"
|
||||||
st "Library"
|
st "Library"
|
||||||
blo "950,4300"
|
blo "950,4300"
|
||||||
)
|
)
|
||||||
*65 (Text
|
*67 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "950,4500,7050,5500"
|
xt "950,4500,7050,5500"
|
||||||
st "VhdlComponent"
|
st "VhdlComponent"
|
||||||
blo "950,5300"
|
blo "950,5300"
|
||||||
)
|
)
|
||||||
*66 (Text
|
*68 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "950,5500,1550,6500"
|
xt "950,5500,1550,6500"
|
||||||
@ -2049,21 +2108,21 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*67 (Text
|
*69 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "450,3500,2750,4500"
|
xt "450,3500,2750,4500"
|
||||||
st "Library"
|
st "Library"
|
||||||
blo "450,4300"
|
blo "450,4300"
|
||||||
)
|
)
|
||||||
*68 (Text
|
*70 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "450,4500,7550,5500"
|
xt "450,4500,7550,5500"
|
||||||
st "VerilogComponent"
|
st "VerilogComponent"
|
||||||
blo "450,5300"
|
blo "450,5300"
|
||||||
)
|
)
|
||||||
*69 (Text
|
*71 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "450,5500,1050,6500"
|
xt "450,5500,1050,6500"
|
||||||
@ -2101,7 +2160,7 @@ ttg (MlTextGroup
|
|||||||
ps "CenterOffsetStrategy"
|
ps "CenterOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*70 (Text
|
*72 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "3400,4000,4600,5000"
|
xt "3400,4000,4600,5000"
|
||||||
@ -2109,7 +2168,7 @@ st "eb1"
|
|||||||
blo "3400,4800"
|
blo "3400,4800"
|
||||||
tm "HdlTextNameMgr"
|
tm "HdlTextNameMgr"
|
||||||
)
|
)
|
||||||
*71 (Text
|
*73 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "3400,5000,3800,6000"
|
xt "3400,5000,3800,6000"
|
||||||
@ -2506,7 +2565,7 @@ decls (MlTextGroup
|
|||||||
ps "BottomRightOffsetStrategy"
|
ps "BottomRightOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*72 (Text
|
*74 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,8,1"
|
font "Arial,8,1"
|
||||||
)
|
)
|
||||||
@ -2514,7 +2573,7 @@ xt "14100,20000,22000,21000"
|
|||||||
st "Frame Declarations"
|
st "Frame Declarations"
|
||||||
blo "14100,20800"
|
blo "14100,20800"
|
||||||
)
|
)
|
||||||
*73 (MLText
|
*75 (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "14100,21000,14100,21000"
|
xt "14100,21000,14100,21000"
|
||||||
@ -2566,7 +2625,7 @@ decls (MlTextGroup
|
|||||||
ps "BottomRightOffsetStrategy"
|
ps "BottomRightOffsetStrategy"
|
||||||
stg "VerticalLayoutStrategy"
|
stg "VerticalLayoutStrategy"
|
||||||
textVec [
|
textVec [
|
||||||
*74 (Text
|
*76 (Text
|
||||||
va (VaSet
|
va (VaSet
|
||||||
font "Arial,8,1"
|
font "Arial,8,1"
|
||||||
)
|
)
|
||||||
@ -2574,7 +2633,7 @@ xt "14100,20000,22000,21000"
|
|||||||
st "Frame Declarations"
|
st "Frame Declarations"
|
||||||
blo "14100,20800"
|
blo "14100,20800"
|
||||||
)
|
)
|
||||||
*75 (MLText
|
*77 (MLText
|
||||||
va (VaSet
|
va (VaSet
|
||||||
)
|
)
|
||||||
xt "14100,21000,14100,21000"
|
xt "14100,21000,14100,21000"
|
||||||
@ -2685,8 +2744,7 @@ st "constant bitNb: positive := 16;
|
|||||||
constant signalBitNb: positive := 16;
|
constant signalBitNb: positive := 16;
|
||||||
constant phaseBitNb: positive := 16;
|
constant phaseBitNb: positive := 16;
|
||||||
constant clockFrequency: real := 60.0E6;
|
constant clockFrequency: real := 60.0E6;
|
||||||
--constant clockFrequency: real := 66.0E6;
|
--constant clockFrequency: real := 66.0E6;"
|
||||||
"
|
|
||||||
tm "BdDeclarativeTextMgr"
|
tm "BdDeclarativeTextMgr"
|
||||||
)
|
)
|
||||||
diagSignalLabel (Text
|
diagSignalLabel (Text
|
||||||
@ -2719,46 +2777,46 @@ tm "BdDeclarativeTextMgr"
|
|||||||
)
|
)
|
||||||
commonDM (CommonDM
|
commonDM (CommonDM
|
||||||
ldm (LogicalDM
|
ldm (LogicalDM
|
||||||
suid 12,0
|
suid 13,0
|
||||||
usingSuid 1
|
usingSuid 1
|
||||||
emptyRow *76 (LEmptyRow
|
emptyRow *78 (LEmptyRow
|
||||||
)
|
)
|
||||||
uid 717,0
|
uid 717,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*77 (RefLabelRowHdr
|
*79 (RefLabelRowHdr
|
||||||
)
|
)
|
||||||
*78 (TitleRowHdr
|
*80 (TitleRowHdr
|
||||||
)
|
)
|
||||||
*79 (FilterRowHdr
|
*81 (FilterRowHdr
|
||||||
)
|
)
|
||||||
*80 (RefLabelColHdr
|
*82 (RefLabelColHdr
|
||||||
tm "RefLabelColHdrMgr"
|
tm "RefLabelColHdrMgr"
|
||||||
)
|
)
|
||||||
*81 (RowExpandColHdr
|
*83 (RowExpandColHdr
|
||||||
tm "RowExpandColHdrMgr"
|
tm "RowExpandColHdrMgr"
|
||||||
)
|
)
|
||||||
*82 (GroupColHdr
|
*84 (GroupColHdr
|
||||||
tm "GroupColHdrMgr"
|
tm "GroupColHdrMgr"
|
||||||
)
|
)
|
||||||
*83 (NameColHdr
|
*85 (NameColHdr
|
||||||
tm "BlockDiagramNameColHdrMgr"
|
tm "BlockDiagramNameColHdrMgr"
|
||||||
)
|
)
|
||||||
*84 (ModeColHdr
|
*86 (ModeColHdr
|
||||||
tm "BlockDiagramModeColHdrMgr"
|
tm "BlockDiagramModeColHdrMgr"
|
||||||
)
|
)
|
||||||
*85 (TypeColHdr
|
*87 (TypeColHdr
|
||||||
tm "BlockDiagramTypeColHdrMgr"
|
tm "BlockDiagramTypeColHdrMgr"
|
||||||
)
|
)
|
||||||
*86 (BoundsColHdr
|
*88 (BoundsColHdr
|
||||||
tm "BlockDiagramBoundsColHdrMgr"
|
tm "BlockDiagramBoundsColHdrMgr"
|
||||||
)
|
)
|
||||||
*87 (InitColHdr
|
*89 (InitColHdr
|
||||||
tm "BlockDiagramInitColHdrMgr"
|
tm "BlockDiagramInitColHdrMgr"
|
||||||
)
|
)
|
||||||
*88 (EolColHdr
|
*90 (EolColHdr
|
||||||
tm "BlockDiagramEolColHdrMgr"
|
tm "BlockDiagramEolColHdrMgr"
|
||||||
)
|
)
|
||||||
*89 (LeafLogPort
|
*91 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2770,7 +2828,7 @@ suid 1,0
|
|||||||
)
|
)
|
||||||
uid 708,0
|
uid 708,0
|
||||||
)
|
)
|
||||||
*90 (LeafLogPort
|
*92 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2782,7 +2840,7 @@ suid 2,0
|
|||||||
)
|
)
|
||||||
uid 710,0
|
uid 710,0
|
||||||
)
|
)
|
||||||
*91 (LeafLogPort
|
*93 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2795,7 +2853,7 @@ suid 3,0
|
|||||||
)
|
)
|
||||||
uid 712,0
|
uid 712,0
|
||||||
)
|
)
|
||||||
*92 (LeafLogPort
|
*94 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2807,7 +2865,7 @@ suid 4,0
|
|||||||
)
|
)
|
||||||
uid 714,0
|
uid 714,0
|
||||||
)
|
)
|
||||||
*93 (LeafLogPort
|
*95 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2820,7 +2878,7 @@ suid 5,0
|
|||||||
)
|
)
|
||||||
uid 1188,0
|
uid 1188,0
|
||||||
)
|
)
|
||||||
*94 (LeafLogPort
|
*96 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2833,7 +2891,7 @@ suid 10,0
|
|||||||
)
|
)
|
||||||
uid 1271,0
|
uid 1271,0
|
||||||
)
|
)
|
||||||
*95 (LeafLogPort
|
*97 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2846,7 +2904,7 @@ suid 11,0
|
|||||||
)
|
)
|
||||||
uid 1316,0
|
uid 1316,0
|
||||||
)
|
)
|
||||||
*96 (LeafLogPort
|
*98 (LeafLogPort
|
||||||
port (LogicalPort
|
port (LogicalPort
|
||||||
m 4
|
m 4
|
||||||
decl (Decl
|
decl (Decl
|
||||||
@ -2859,6 +2917,19 @@ suid 12,0
|
|||||||
)
|
)
|
||||||
uid 1363,0
|
uid 1363,0
|
||||||
)
|
)
|
||||||
|
*99 (LeafLogPort
|
||||||
|
port (LogicalPort
|
||||||
|
m 4
|
||||||
|
decl (Decl
|
||||||
|
n "sine"
|
||||||
|
t "unsigned"
|
||||||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||||||
|
o 9
|
||||||
|
suid 13,0
|
||||||
|
)
|
||||||
|
)
|
||||||
|
uid 1412,0
|
||||||
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
pdm (PhysicalDM
|
pdm (PhysicalDM
|
||||||
@ -2866,7 +2937,7 @@ displayShortBounds 1
|
|||||||
editShortBounds 1
|
editShortBounds 1
|
||||||
uid 730,0
|
uid 730,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*97 (Sheet
|
*100 (Sheet
|
||||||
sheetRow (SheetRow
|
sheetRow (SheetRow
|
||||||
headerVa (MVa
|
headerVa (MVa
|
||||||
cellColor "49152,49152,49152"
|
cellColor "49152,49152,49152"
|
||||||
@ -2883,80 +2954,86 @@ cellColor "39936,56832,65280"
|
|||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "Tahoma,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
emptyMRCItem *98 (MRCItem
|
emptyMRCItem *101 (MRCItem
|
||||||
litem &76
|
litem &78
|
||||||
pos 8
|
pos 9
|
||||||
dimension 20
|
dimension 20
|
||||||
)
|
)
|
||||||
uid 732,0
|
uid 732,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*99 (MRCItem
|
*102 (MRCItem
|
||||||
litem &77
|
litem &79
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 733,0
|
uid 733,0
|
||||||
)
|
)
|
||||||
*100 (MRCItem
|
*103 (MRCItem
|
||||||
litem &78
|
litem &80
|
||||||
pos 1
|
pos 1
|
||||||
dimension 23
|
dimension 23
|
||||||
uid 734,0
|
uid 734,0
|
||||||
)
|
)
|
||||||
*101 (MRCItem
|
*104 (MRCItem
|
||||||
litem &79
|
litem &81
|
||||||
pos 2
|
pos 2
|
||||||
hidden 1
|
hidden 1
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 735,0
|
uid 735,0
|
||||||
)
|
)
|
||||||
*102 (MRCItem
|
*105 (MRCItem
|
||||||
litem &89
|
litem &91
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 709,0
|
uid 709,0
|
||||||
)
|
)
|
||||||
*103 (MRCItem
|
*106 (MRCItem
|
||||||
litem &90
|
litem &92
|
||||||
pos 1
|
pos 1
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 711,0
|
uid 711,0
|
||||||
)
|
)
|
||||||
*104 (MRCItem
|
*107 (MRCItem
|
||||||
litem &91
|
litem &93
|
||||||
pos 2
|
pos 2
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 713,0
|
uid 713,0
|
||||||
)
|
)
|
||||||
*105 (MRCItem
|
*108 (MRCItem
|
||||||
litem &92
|
litem &94
|
||||||
pos 3
|
pos 3
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 715,0
|
uid 715,0
|
||||||
)
|
)
|
||||||
*106 (MRCItem
|
*109 (MRCItem
|
||||||
litem &93
|
litem &95
|
||||||
pos 4
|
pos 4
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 1189,0
|
uid 1189,0
|
||||||
)
|
)
|
||||||
*107 (MRCItem
|
*110 (MRCItem
|
||||||
litem &94
|
litem &96
|
||||||
pos 5
|
pos 5
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 1272,0
|
uid 1272,0
|
||||||
)
|
)
|
||||||
*108 (MRCItem
|
*111 (MRCItem
|
||||||
litem &95
|
litem &97
|
||||||
pos 6
|
pos 6
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 1317,0
|
uid 1317,0
|
||||||
)
|
)
|
||||||
*109 (MRCItem
|
*112 (MRCItem
|
||||||
litem &96
|
litem &98
|
||||||
pos 7
|
pos 7
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 1364,0
|
uid 1364,0
|
||||||
)
|
)
|
||||||
|
*113 (MRCItem
|
||||||
|
litem &99
|
||||||
|
pos 8
|
||||||
|
dimension 20
|
||||||
|
uid 1413,0
|
||||||
|
)
|
||||||
]
|
]
|
||||||
)
|
)
|
||||||
sheetCol (SheetCol
|
sheetCol (SheetCol
|
||||||
@ -2968,50 +3045,50 @@ textAngle 90
|
|||||||
)
|
)
|
||||||
uid 736,0
|
uid 736,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*110 (MRCItem
|
*114 (MRCItem
|
||||||
litem &80
|
litem &82
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 737,0
|
uid 737,0
|
||||||
)
|
)
|
||||||
*111 (MRCItem
|
*115 (MRCItem
|
||||||
litem &82
|
litem &84
|
||||||
pos 1
|
pos 1
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 738,0
|
uid 738,0
|
||||||
)
|
)
|
||||||
*112 (MRCItem
|
*116 (MRCItem
|
||||||
litem &83
|
litem &85
|
||||||
pos 2
|
pos 2
|
||||||
dimension 100
|
dimension 100
|
||||||
uid 739,0
|
uid 739,0
|
||||||
)
|
)
|
||||||
*113 (MRCItem
|
*117 (MRCItem
|
||||||
litem &84
|
litem &86
|
||||||
pos 3
|
pos 3
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 740,0
|
uid 740,0
|
||||||
)
|
)
|
||||||
*114 (MRCItem
|
*118 (MRCItem
|
||||||
litem &85
|
litem &87
|
||||||
pos 4
|
pos 4
|
||||||
dimension 100
|
dimension 100
|
||||||
uid 741,0
|
uid 741,0
|
||||||
)
|
)
|
||||||
*115 (MRCItem
|
*119 (MRCItem
|
||||||
litem &86
|
litem &88
|
||||||
pos 5
|
pos 5
|
||||||
dimension 100
|
dimension 100
|
||||||
uid 742,0
|
uid 742,0
|
||||||
)
|
)
|
||||||
*116 (MRCItem
|
*120 (MRCItem
|
||||||
litem &87
|
litem &89
|
||||||
pos 6
|
pos 6
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 743,0
|
uid 743,0
|
||||||
)
|
)
|
||||||
*117 (MRCItem
|
*121 (MRCItem
|
||||||
litem &88
|
litem &90
|
||||||
pos 7
|
pos 7
|
||||||
dimension 80
|
dimension 80
|
||||||
uid 744,0
|
uid 744,0
|
||||||
@ -3031,38 +3108,38 @@ uid 716,0
|
|||||||
)
|
)
|
||||||
genericsCommonDM (CommonDM
|
genericsCommonDM (CommonDM
|
||||||
ldm (LogicalDM
|
ldm (LogicalDM
|
||||||
emptyRow *118 (LEmptyRow
|
emptyRow *122 (LEmptyRow
|
||||||
)
|
)
|
||||||
uid 746,0
|
uid 746,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*119 (RefLabelRowHdr
|
*123 (RefLabelRowHdr
|
||||||
)
|
)
|
||||||
*120 (TitleRowHdr
|
*124 (TitleRowHdr
|
||||||
)
|
)
|
||||||
*121 (FilterRowHdr
|
*125 (FilterRowHdr
|
||||||
)
|
)
|
||||||
*122 (RefLabelColHdr
|
*126 (RefLabelColHdr
|
||||||
tm "RefLabelColHdrMgr"
|
tm "RefLabelColHdrMgr"
|
||||||
)
|
)
|
||||||
*123 (RowExpandColHdr
|
*127 (RowExpandColHdr
|
||||||
tm "RowExpandColHdrMgr"
|
tm "RowExpandColHdrMgr"
|
||||||
)
|
)
|
||||||
*124 (GroupColHdr
|
*128 (GroupColHdr
|
||||||
tm "GroupColHdrMgr"
|
tm "GroupColHdrMgr"
|
||||||
)
|
)
|
||||||
*125 (NameColHdr
|
*129 (NameColHdr
|
||||||
tm "GenericNameColHdrMgr"
|
tm "GenericNameColHdrMgr"
|
||||||
)
|
)
|
||||||
*126 (TypeColHdr
|
*130 (TypeColHdr
|
||||||
tm "GenericTypeColHdrMgr"
|
tm "GenericTypeColHdrMgr"
|
||||||
)
|
)
|
||||||
*127 (InitColHdr
|
*131 (InitColHdr
|
||||||
tm "GenericValueColHdrMgr"
|
tm "GenericValueColHdrMgr"
|
||||||
)
|
)
|
||||||
*128 (PragmaColHdr
|
*132 (PragmaColHdr
|
||||||
tm "GenericPragmaColHdrMgr"
|
tm "GenericPragmaColHdrMgr"
|
||||||
)
|
)
|
||||||
*129 (EolColHdr
|
*133 (EolColHdr
|
||||||
tm "GenericEolColHdrMgr"
|
tm "GenericEolColHdrMgr"
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
@ -3070,7 +3147,7 @@ tm "GenericEolColHdrMgr"
|
|||||||
pdm (PhysicalDM
|
pdm (PhysicalDM
|
||||||
uid 758,0
|
uid 758,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*130 (Sheet
|
*134 (Sheet
|
||||||
sheetRow (SheetRow
|
sheetRow (SheetRow
|
||||||
headerVa (MVa
|
headerVa (MVa
|
||||||
cellColor "49152,49152,49152"
|
cellColor "49152,49152,49152"
|
||||||
@ -3087,27 +3164,27 @@ cellColor "39936,56832,65280"
|
|||||||
fontColor "0,0,0"
|
fontColor "0,0,0"
|
||||||
font "Tahoma,10,0"
|
font "Tahoma,10,0"
|
||||||
)
|
)
|
||||||
emptyMRCItem *131 (MRCItem
|
emptyMRCItem *135 (MRCItem
|
||||||
litem &118
|
litem &122
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
)
|
)
|
||||||
uid 760,0
|
uid 760,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*132 (MRCItem
|
*136 (MRCItem
|
||||||
litem &119
|
litem &123
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 761,0
|
uid 761,0
|
||||||
)
|
)
|
||||||
*133 (MRCItem
|
*137 (MRCItem
|
||||||
litem &120
|
litem &124
|
||||||
pos 1
|
pos 1
|
||||||
dimension 23
|
dimension 23
|
||||||
uid 762,0
|
uid 762,0
|
||||||
)
|
)
|
||||||
*134 (MRCItem
|
*138 (MRCItem
|
||||||
litem &121
|
litem &125
|
||||||
pos 2
|
pos 2
|
||||||
hidden 1
|
hidden 1
|
||||||
dimension 20
|
dimension 20
|
||||||
@ -3124,44 +3201,44 @@ textAngle 90
|
|||||||
)
|
)
|
||||||
uid 764,0
|
uid 764,0
|
||||||
optionalChildren [
|
optionalChildren [
|
||||||
*135 (MRCItem
|
*139 (MRCItem
|
||||||
litem &122
|
litem &126
|
||||||
pos 0
|
pos 0
|
||||||
dimension 20
|
dimension 20
|
||||||
uid 765,0
|
uid 765,0
|
||||||
)
|
)
|
||||||
*136 (MRCItem
|
*140 (MRCItem
|
||||||
litem &124
|
litem &128
|
||||||
pos 1
|
pos 1
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 766,0
|
uid 766,0
|
||||||
)
|
)
|
||||||
*137 (MRCItem
|
*141 (MRCItem
|
||||||
litem &125
|
litem &129
|
||||||
pos 2
|
pos 2
|
||||||
dimension 100
|
dimension 100
|
||||||
uid 767,0
|
uid 767,0
|
||||||
)
|
)
|
||||||
*138 (MRCItem
|
*142 (MRCItem
|
||||||
litem &126
|
litem &130
|
||||||
pos 3
|
pos 3
|
||||||
dimension 100
|
dimension 100
|
||||||
uid 768,0
|
uid 768,0
|
||||||
)
|
)
|
||||||
*139 (MRCItem
|
*143 (MRCItem
|
||||||
litem &127
|
litem &131
|
||||||
pos 4
|
pos 4
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 769,0
|
uid 769,0
|
||||||
)
|
)
|
||||||
*140 (MRCItem
|
*144 (MRCItem
|
||||||
litem &128
|
litem &132
|
||||||
pos 5
|
pos 5
|
||||||
dimension 50
|
dimension 50
|
||||||
uid 770,0
|
uid 770,0
|
||||||
)
|
)
|
||||||
*141 (MRCItem
|
*145 (MRCItem
|
||||||
litem &129
|
litem &133
|
||||||
pos 6
|
pos 6
|
||||||
dimension 80
|
dimension 80
|
||||||
uid 771,0
|
uid 771,0
|
||||||
|
@ -1,6 +0,0 @@
|
|||||||
EDIT_LOCK
|
|
||||||
remi.heredero
|
|
||||||
UNKNOWN
|
|
||||||
WE2330808
|
|
||||||
15212
|
|
||||||
01.03.2024-14:26:32.427000
|
|
@ -0,0 +1,55 @@
|
|||||||
|
version "8.0"
|
||||||
|
RenoirTeamPreferences [
|
||||||
|
(BaseTeamPreferences
|
||||||
|
version "1.1"
|
||||||
|
verConcat 0
|
||||||
|
ttDGProps [
|
||||||
|
]
|
||||||
|
fcDGProps [
|
||||||
|
]
|
||||||
|
smDGProps [
|
||||||
|
]
|
||||||
|
asmDGProps [
|
||||||
|
]
|
||||||
|
bdDGProps [
|
||||||
|
]
|
||||||
|
syDGProps [
|
||||||
|
]
|
||||||
|
)
|
||||||
|
(VersionControlTeamPreferences
|
||||||
|
version "1.1"
|
||||||
|
VMPlugin ""
|
||||||
|
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||||
|
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||||
|
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||||
|
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||||
|
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||||
|
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||||
|
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||||
|
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||||
|
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||||
|
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
|
||||||
|
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
|
||||||
|
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||||
|
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||||
|
VMSvnHdlRepository ""
|
||||||
|
VMDefaultView 1
|
||||||
|
VMCurrentDesignHierarchyOnly 0
|
||||||
|
VMUserData 1
|
||||||
|
VMGeneratedHDL 0
|
||||||
|
VMVerboseMode 0
|
||||||
|
VMAlwaysEmpty 0
|
||||||
|
VMSetTZ 1
|
||||||
|
VMSymbol 1
|
||||||
|
VMCurrentDesignHierarchy 0
|
||||||
|
VMMultipleRepositoryMode 0
|
||||||
|
VMSnapshotViewMode 0
|
||||||
|
backupNameClashes 1
|
||||||
|
clearCaseMaster 0
|
||||||
|
)
|
||||||
|
(CustomizeTeamPreferences
|
||||||
|
version "1.1"
|
||||||
|
FileTypes [
|
||||||
|
]
|
||||||
|
)
|
||||||
|
]
|
@ -1280,6 +1280,7 @@ projectPaths [
|
|||||||
"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
||||||
"C:\\work\\edu\\sem\\labo\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
"C:\\work\\edu\\sem\\labo\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
||||||
"C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
"C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
||||||
|
"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\02-SplineInterpolator\\Prefs\\hds.hdp"
|
||||||
]
|
]
|
||||||
libMappingsRootDir ""
|
libMappingsRootDir ""
|
||||||
teamLibMappingsRootDir ""
|
teamLibMappingsRootDir ""
|
||||||
@ -1300,288 +1301,144 @@ exportedDirectories [
|
|||||||
exportStdIncludeRefs 1
|
exportStdIncludeRefs 1
|
||||||
exportStdPackageRefs 1
|
exportStdPackageRefs 1
|
||||||
)
|
)
|
||||||
printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN"
|
printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN"
|
||||||
pageSizes [
|
pageSizes [
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "12\" x 18\""
|
name "Letter"
|
||||||
type 512
|
width 783
|
||||||
width 1106
|
height 1013
|
||||||
height 1658
|
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "11\" x 17\""
|
name "Legal"
|
||||||
type 17
|
|
||||||
width 1013
|
|
||||||
height 1566
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Legal (8,5\" x 14\")"
|
|
||||||
type 5
|
type 5
|
||||||
width 783
|
width 783
|
||||||
height 1290
|
height 1290
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "Letter (8,5\" x 11\")"
|
name "Statement"
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Executive (7,25\"x10,5\")"
|
|
||||||
type 7
|
|
||||||
width 667
|
|
||||||
height 967
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "5,5\" x 8,5\""
|
|
||||||
type 6
|
type 6
|
||||||
width 506
|
width 506
|
||||||
height 783
|
height 783
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "A3 (297 x 420 mm)"
|
name "Executive"
|
||||||
|
type 7
|
||||||
|
width 667
|
||||||
|
height 967
|
||||||
|
)
|
||||||
|
(PageSizeInfo
|
||||||
|
name "A3"
|
||||||
type 8
|
type 8
|
||||||
width 1077
|
width 1077
|
||||||
height 1523
|
height 1523
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "A4 (210 x 297 mm)"
|
name "A4"
|
||||||
type 9
|
type 9
|
||||||
width 761
|
width 761
|
||||||
height 1077
|
height 1077
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "A5 (148 x 210 mm)"
|
name "A5"
|
||||||
type 11
|
type 11
|
||||||
width 538
|
width 536
|
||||||
height 761
|
height 761
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "A6 (105 x 148 mm)"
|
name "B4 (JIS)"
|
||||||
type 70
|
|
||||||
width 380
|
|
||||||
height 538
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "B4 JIS (257 x 364 mm)"
|
|
||||||
type 12
|
type 12
|
||||||
width 932
|
width 932
|
||||||
height 1320
|
height 1320
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "B5 JIS (182 x 257 mm)"
|
name "B5 (JIS)"
|
||||||
type 13
|
type 13
|
||||||
width 660
|
width 660
|
||||||
height 932
|
height 932
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "B6 JIS (128 x 182 mm)"
|
name "11×17"
|
||||||
type 88
|
type 17
|
||||||
width 464
|
width 1013
|
||||||
height 660
|
height 1566
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "8\" x 13\""
|
name "Envelope #10"
|
||||||
type 518
|
|
||||||
width 737
|
|
||||||
height 1198
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "8,25\" x 13\""
|
|
||||||
type 519
|
|
||||||
width 760
|
|
||||||
height 1198
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "8,5\" x 13\""
|
|
||||||
type 14
|
|
||||||
width 783
|
|
||||||
height 1198
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "8.5\" x 13.4\""
|
|
||||||
type 551
|
|
||||||
width 783
|
|
||||||
height 1235
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Com10 Env.(4,125\"x9,5\")"
|
|
||||||
type 20
|
type 20
|
||||||
width 380
|
width 379
|
||||||
height 875
|
height 875
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "Env.Monar.(3,875\"x7,5\")"
|
name "Envelope DL"
|
||||||
type 37
|
|
||||||
width 357
|
|
||||||
height 691
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Env. DL (110 x 220 mm)"
|
|
||||||
type 27
|
type 27
|
||||||
width 399
|
width 399
|
||||||
height 798
|
height 798
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "Env. C6 (114 x 162 mm)"
|
name "Envelope C5"
|
||||||
type 31
|
|
||||||
width 413
|
|
||||||
height 587
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Env. C5 (162 x 229 mm)"
|
|
||||||
type 28
|
type 28
|
||||||
width 587
|
width 587
|
||||||
height 830
|
height 830
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "8K (267 x 390 mm)"
|
name "Envelope B5"
|
||||||
type 520
|
type 34
|
||||||
width 968
|
width 638
|
||||||
height 1415
|
height 907
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "16K (195 x 267 mm)"
|
name "Envelope Monarch"
|
||||||
type 521
|
type 37
|
||||||
width 707
|
width 357
|
||||||
height 968
|
height 691
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "8,25\" x 14\""
|
name "Japanese Postcard"
|
||||||
type 522
|
type 43
|
||||||
width 760
|
width 362
|
||||||
height 1290
|
height 536
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "11\" x 14\""
|
name "A6"
|
||||||
type 524
|
type 70
|
||||||
width 1013
|
width 380
|
||||||
height 1290
|
height 536
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "13\" x 19,2\""
|
name "Double Japan Postcard Rotated"
|
||||||
type 525
|
type 82
|
||||||
width 1198
|
width 536
|
||||||
height 1769
|
height 725
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "13\" x 19\""
|
name "Executive (JIS)"
|
||||||
type 526
|
type 119
|
||||||
width 1198
|
width 783
|
||||||
height 1751
|
height 1196
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "12,6\" x 19,2\""
|
name "Oficio 8.5x13"
|
||||||
type 527
|
type 120
|
||||||
width 1161
|
width 783
|
||||||
height 1769
|
height 1198
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "12,6\" x 18,5\""
|
name "12x18"
|
||||||
type 528
|
type 121
|
||||||
width 1161
|
width 1105
|
||||||
height 1704
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "13\" x 18\""
|
|
||||||
type 529
|
|
||||||
width 1198
|
|
||||||
height 1658
|
height 1658
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "10\" x 14\""
|
name "8K 273x394 mm"
|
||||||
type 16
|
type 139
|
||||||
width 921
|
width 990
|
||||||
height 1290
|
height 1428
|
||||||
)
|
)
|
||||||
(PageSizeInfo
|
(PageSizeInfo
|
||||||
name "10\" x 15\""
|
name "16K 197x273 mm"
|
||||||
type 546
|
type 140
|
||||||
width 921
|
width 714
|
||||||
height 1382
|
height 990
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "11\" x 15\""
|
|
||||||
type 539
|
|
||||||
width 1013
|
|
||||||
height 1382
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "SRA3 (320 x 450 mm)"
|
|
||||||
type 530
|
|
||||||
width 1161
|
|
||||||
height 1632
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "SRA4 (225 x 320 mm)"
|
|
||||||
type 531
|
|
||||||
width 816
|
|
||||||
height 1161
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Format papier personnalisé"
|
|
||||||
type 256
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size1(215,9 x 279,4 mm)"
|
|
||||||
type 257
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size2(215,9 x 279,4 mm)"
|
|
||||||
type 258
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size3(215,9 x 279,4 mm)"
|
|
||||||
type 259
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size4(215,9 x 279,4 mm)"
|
|
||||||
type 260
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size5(215,9 x 279,4 mm)"
|
|
||||||
type 261
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size6(215,9 x 279,4 mm)"
|
|
||||||
type 262
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size7(215,9 x 279,4 mm)"
|
|
||||||
type 263
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size8(215,9 x 279,4 mm)"
|
|
||||||
type 264
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size9(215,9 x 279,4 mm)"
|
|
||||||
type 265
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
|
||||||
(PageSizeInfo
|
|
||||||
name "Custom Paper Size10(215,9 x 279,4 mm)"
|
|
||||||
type 266
|
|
||||||
width 783
|
|
||||||
height 1013
|
|
||||||
)
|
)
|
||||||
]
|
]
|
||||||
exportPageSetupInfo (PageSetupInfo
|
exportPageSetupInfo (PageSetupInfo
|
||||||
@ -4292,7 +4149,7 @@ hdsWorkspaceLocation ""
|
|||||||
relativeLibraryRootDir ""
|
relativeLibraryRootDir ""
|
||||||
vmLabelLatestDontAskAgain 0
|
vmLabelLatestDontAskAgain 0
|
||||||
vmLabelWorkspaceDontAskAgain 0
|
vmLabelWorkspaceDontAskAgain 0
|
||||||
logWindowGeometry "600x200+2349+55"
|
logWindowGeometry "600x573+405+95"
|
||||||
diagramBrowserTabNo 0
|
diagramBrowserTabNo 0
|
||||||
showInsertPortHint 0
|
showInsertPortHint 0
|
||||||
showContentFirstTime 0
|
showContentFirstTime 0
|
||||||
|
6699
02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak
Normal file
6699
02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,34 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:14 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY interpolatorCalculatePolynom IS
|
||||||
|
GENERIC(
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
coeffBitNb : positive := 16;
|
||||||
|
oversamplingBitNb : positive := 8
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
clock : IN std_ulogic;
|
||||||
|
reset : IN std_ulogic;
|
||||||
|
restartPolynom : IN std_ulogic;
|
||||||
|
d : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
sampleOut : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
c : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
b : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
a : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
en : IN std_ulogic
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END interpolatorCalculatePolynom ;
|
||||||
|
|
@ -0,0 +1,33 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:20 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY interpolatorCoefficients IS
|
||||||
|
GENERIC(
|
||||||
|
bitNb : positive := 16;
|
||||||
|
coeffBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
sample1 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample2 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample3 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample4 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
a : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
b : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
c : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
d : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
interpolateLinear : IN std_ulogic
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END interpolatorCoefficients ;
|
||||||
|
|
@ -0,0 +1,31 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:24 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY interpolatorShiftRegister IS
|
||||||
|
GENERIC(
|
||||||
|
signalBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
clock : IN std_ulogic;
|
||||||
|
reset : IN std_ulogic;
|
||||||
|
shiftSamples : IN std_ulogic;
|
||||||
|
sampleIn : IN signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample1 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample2 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample3 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample4 : OUT signed (signalBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END interpolatorShiftRegister ;
|
||||||
|
|
@ -0,0 +1,27 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:28 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY interpolatorTrigger IS
|
||||||
|
GENERIC(
|
||||||
|
counterBitNb : positive := 4
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
triggerOut : OUT std_ulogic;
|
||||||
|
clock : IN std_ulogic;
|
||||||
|
reset : IN std_ulogic;
|
||||||
|
en : IN std_ulogic
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END interpolatorTrigger ;
|
||||||
|
|
@ -0,0 +1,25 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:32 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY offsetToUnsigned IS
|
||||||
|
GENERIC(
|
||||||
|
bitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
signedIn : IN signed (bitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END offsetToUnsigned ;
|
||||||
|
|
@ -0,0 +1,26 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.resizer.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:36 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY resizer IS
|
||||||
|
GENERIC(
|
||||||
|
inputBitNb : positive := 16;
|
||||||
|
outputBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0);
|
||||||
|
resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END resizer ;
|
||||||
|
|
@ -1,4 +1,24 @@
|
|||||||
ARCHITECTURE studentVersion OF resizer IS
|
ARCHITECTURE studentVersion OF resizer IS
|
||||||
|
|
||||||
|
signal mySignal : unsigned(outputBitNb-1 downto 0);
|
||||||
|
|
||||||
|
--------------------------------------------------------------------------------
|
||||||
BEGIN
|
BEGIN
|
||||||
resizeOut <= (others => '0');
|
|
||||||
|
INPUT_BIGGER: if inputBitNb >= outputBitNb generate
|
||||||
|
process(resizeIn)
|
||||||
|
begin
|
||||||
|
mySignal <= resize(shift_right(resizeIn, inputBitNb - outputBitNb), outputBitNb);
|
||||||
|
end process;
|
||||||
|
end generate INPUT_BIGGER;
|
||||||
|
|
||||||
|
OUTPUT_BIGGER: if inputBitNb <= outputBitNb generate
|
||||||
|
process(resizeIn)
|
||||||
|
begin
|
||||||
|
mySignal <= shift_left(resize(resizeIn, outputBitNb), outputBitNb - inputBitNb);
|
||||||
|
end process;
|
||||||
|
end generate OUTPUT_BIGGER;
|
||||||
|
|
||||||
|
resizeOut <= mySignal;
|
||||||
|
|
||||||
END ARCHITECTURE studentVersion;
|
END ARCHITECTURE studentVersion;
|
||||||
|
@ -1,15 +1,25 @@
|
|||||||
ARCHITECTURE studentVersion OF sineTable IS
|
ARCHITECTURE studentVersion OF sineTable IS
|
||||||
|
|
||||||
signal phaseTableAddress : unsigned(tableAddressBitNb-1 downto 0);
|
signal phaseTableAddress : unsigned(tableAddressBitNb-1 downto 0);
|
||||||
|
signal phaseTableAddress2 : unsigned(tableAddressBitNb-1 downto 0);
|
||||||
signal quarterSine : signed(sine'range);
|
signal quarterSine : signed(sine'range);
|
||||||
|
|
||||||
BEGIN
|
BEGIN
|
||||||
|
|
||||||
phaseTableAddress <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1);
|
phaseTableAddress <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1);
|
||||||
|
|
||||||
quarterTable: process(phaseTableAddress)
|
sequenceTable: process(phase)
|
||||||
begin
|
begin
|
||||||
case to_integer(phaseTableAddress) is
|
if phase(phase'high-1) = '1' then
|
||||||
|
phaseTableAddress2 <= 8 - phaseTableAddress;
|
||||||
|
else
|
||||||
|
phaseTableAddress2 <= phaseTableAddress;
|
||||||
|
end if;
|
||||||
|
end process sequenceTable;
|
||||||
|
|
||||||
|
quarterTable: process(phaseTableAddress2)
|
||||||
|
begin
|
||||||
|
case to_integer(phaseTableAddress2) is
|
||||||
when 0 => quarterSine <= to_signed(16#0000#, quarterSine'length);
|
when 0 => quarterSine <= to_signed(16#0000#, quarterSine'length);
|
||||||
when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length);
|
when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length);
|
||||||
when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length);
|
when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length);
|
||||||
@ -22,6 +32,15 @@ BEGIN
|
|||||||
end case;
|
end case;
|
||||||
end process quarterTable;
|
end process quarterTable;
|
||||||
|
|
||||||
sine <= (others => '0');
|
invert: process(quarterSine)
|
||||||
|
begin
|
||||||
|
if phase(phase'high) = '1' then
|
||||||
|
sine <= NOT quarterSine;
|
||||||
|
else
|
||||||
|
sine <= quarterSine;
|
||||||
|
end if;
|
||||||
|
end process invert;
|
||||||
|
|
||||||
|
--sine <= quarterSine;
|
||||||
|
|
||||||
END ARCHITECTURE studentVersion;
|
END ARCHITECTURE studentVersion;
|
||||||
|
@ -0,0 +1,31 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.sineGen.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:40 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY sineGen IS
|
||||||
|
GENERIC(
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
phaseBitNb : positive := 10
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
clock : IN std_ulogic;
|
||||||
|
reset : IN std_ulogic;
|
||||||
|
step : IN unsigned (phaseBitNb-1 DOWNTO 0);
|
||||||
|
sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
sine : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
square : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END sineGen ;
|
||||||
|
|
307
02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg
Normal file
307
02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg
Normal file
@ -0,0 +1,307 @@
|
|||||||
|
--
|
||||||
|
-- VHDL Architecture SplineInterpolator.sineGen.struct
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - axel.amand.UNKNOWN (WE7860)
|
||||||
|
-- at - 14:42:04 28.04.2023
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
LIBRARY SplineInterpolator;
|
||||||
|
LIBRARY WaveformGenerator;
|
||||||
|
|
||||||
|
ARCHITECTURE struct OF sineGen IS
|
||||||
|
|
||||||
|
-- Architecture declarations
|
||||||
|
constant tableAddressBitNb : positive := 3;
|
||||||
|
constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb;
|
||||||
|
constant coeffBitNb : positive := signalBitNb+4;
|
||||||
|
|
||||||
|
-- Internal signal declarations
|
||||||
|
SIGNAL a : signed(coeffBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL b : signed(coeffBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL c : signed(coeffBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL d : signed(coeffBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL logic0 : std_ulogic;
|
||||||
|
SIGNAL logic1 : std_ulogic;
|
||||||
|
SIGNAL newPolynom : std_ulogic;
|
||||||
|
SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0);
|
||||||
|
|
||||||
|
-- Implicit buffer signal declarations
|
||||||
|
SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
|
||||||
|
|
||||||
|
-- Component Declarations
|
||||||
|
COMPONENT interpolatorCalculatePolynom
|
||||||
|
GENERIC (
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
coeffBitNb : positive := 16;
|
||||||
|
oversamplingBitNb : positive := 8
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
clock : IN std_ulogic ;
|
||||||
|
reset : IN std_ulogic ;
|
||||||
|
restartPolynom : IN std_ulogic ;
|
||||||
|
d : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
sampleOut : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
c : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
b : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
a : IN signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
en : IN std_ulogic
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT interpolatorCoefficients
|
||||||
|
GENERIC (
|
||||||
|
bitNb : positive := 16;
|
||||||
|
coeffBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
sample1 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample2 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample3 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
sample4 : IN signed (bitNb-1 DOWNTO 0);
|
||||||
|
a : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
b : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
c : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
d : OUT signed (coeffBitNb-1 DOWNTO 0);
|
||||||
|
interpolateLinear : IN std_ulogic
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT interpolatorShiftRegister
|
||||||
|
GENERIC (
|
||||||
|
signalBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
clock : IN std_ulogic ;
|
||||||
|
reset : IN std_ulogic ;
|
||||||
|
shiftSamples : IN std_ulogic ;
|
||||||
|
sampleIn : IN signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample1 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample2 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample3 : OUT signed (signalBitNb-1 DOWNTO 0);
|
||||||
|
sample4 : OUT signed (signalBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT interpolatorTrigger
|
||||||
|
GENERIC (
|
||||||
|
counterBitNb : positive := 4
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
triggerOut : OUT std_ulogic ;
|
||||||
|
clock : IN std_ulogic ;
|
||||||
|
reset : IN std_ulogic ;
|
||||||
|
en : IN std_ulogic
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT offsetToUnsigned
|
||||||
|
GENERIC (
|
||||||
|
bitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
signedIn : IN signed (bitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT resizer
|
||||||
|
GENERIC (
|
||||||
|
inputBitNb : positive := 16;
|
||||||
|
outputBitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0);
|
||||||
|
resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT sineTable
|
||||||
|
GENERIC (
|
||||||
|
inputBitNb : positive := 16;
|
||||||
|
outputBitNb : positive := 16;
|
||||||
|
tableAddressBitNb : positive := 3
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
sine : OUT signed (outputBitNb-1 DOWNTO 0);
|
||||||
|
phase : IN unsigned (inputBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT sawtoothGen
|
||||||
|
GENERIC (
|
||||||
|
bitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
sawtooth : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
clock : IN std_ulogic ;
|
||||||
|
reset : IN std_ulogic ;
|
||||||
|
step : IN unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
en : IN std_ulogic
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT sawtoothToSquare
|
||||||
|
GENERIC (
|
||||||
|
bitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
square : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT sawtoothToTriangle
|
||||||
|
GENERIC (
|
||||||
|
bitNb : positive := 16
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
triangle : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||||
|
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
|
||||||
|
-- Optional embedded configurations
|
||||||
|
-- pragma synthesis_off
|
||||||
|
FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom;
|
||||||
|
FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients;
|
||||||
|
FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister;
|
||||||
|
FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger;
|
||||||
|
FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned;
|
||||||
|
FOR ALL : resizer USE ENTITY SplineInterpolator.resizer;
|
||||||
|
FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen;
|
||||||
|
FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare;
|
||||||
|
FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle;
|
||||||
|
FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable;
|
||||||
|
-- pragma synthesis_on
|
||||||
|
|
||||||
|
|
||||||
|
BEGIN
|
||||||
|
-- Architecture concurrent statements
|
||||||
|
-- HDL Embedded Text Block 2 eb2
|
||||||
|
logic1 <= '1';
|
||||||
|
|
||||||
|
-- HDL Embedded Text Block 3 eb3
|
||||||
|
logic0 <= '0';
|
||||||
|
|
||||||
|
|
||||||
|
-- Instance port mappings.
|
||||||
|
I_spline : interpolatorCalculatePolynom
|
||||||
|
GENERIC MAP (
|
||||||
|
signalBitNb => signalBitNb,
|
||||||
|
coeffBitNb => coeffBitNb,
|
||||||
|
oversamplingBitNb => sampleCountBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
restartPolynom => newPolynom,
|
||||||
|
d => d,
|
||||||
|
sampleOut => sineSigned,
|
||||||
|
c => c,
|
||||||
|
b => b,
|
||||||
|
a => a,
|
||||||
|
en => logic1
|
||||||
|
);
|
||||||
|
I_coeffs : interpolatorCoefficients
|
||||||
|
GENERIC MAP (
|
||||||
|
bitNb => signalBitNb,
|
||||||
|
coeffBitNb => coeffBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
sample1 => sample1,
|
||||||
|
sample2 => sample2,
|
||||||
|
sample3 => sample3,
|
||||||
|
sample4 => sample4,
|
||||||
|
a => a,
|
||||||
|
b => b,
|
||||||
|
c => c,
|
||||||
|
d => d,
|
||||||
|
interpolateLinear => logic0
|
||||||
|
);
|
||||||
|
I_shReg : interpolatorShiftRegister
|
||||||
|
GENERIC MAP (
|
||||||
|
signalBitNb => signalBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
shiftSamples => newPolynom,
|
||||||
|
sampleIn => sineSamples,
|
||||||
|
sample1 => sample1,
|
||||||
|
sample2 => sample2,
|
||||||
|
sample3 => sample3,
|
||||||
|
sample4 => sample4
|
||||||
|
);
|
||||||
|
I_trig : interpolatorTrigger
|
||||||
|
GENERIC MAP (
|
||||||
|
counterBitNb => sampleCountBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
triggerOut => newPolynom,
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
en => logic1
|
||||||
|
);
|
||||||
|
I_unsigned : offsetToUnsigned
|
||||||
|
GENERIC MAP (
|
||||||
|
bitNb => signalBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
unsignedOut => sine,
|
||||||
|
signedIn => sineSigned
|
||||||
|
);
|
||||||
|
I_size : resizer
|
||||||
|
GENERIC MAP (
|
||||||
|
inputBitNb => phaseBitNb,
|
||||||
|
outputBitNb => signalBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
resizeOut => sawtooth_internal,
|
||||||
|
resizeIn => phase
|
||||||
|
);
|
||||||
|
I_sin : sineTable
|
||||||
|
GENERIC MAP (
|
||||||
|
inputBitNb => phaseBitNb,
|
||||||
|
outputBitNb => signalBitNb,
|
||||||
|
tableAddressBitNb => tableAddressBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
sine => sineSamples,
|
||||||
|
phase => phase
|
||||||
|
);
|
||||||
|
I_saw : sawtoothGen
|
||||||
|
GENERIC MAP (
|
||||||
|
bitNb => phaseBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
sawtooth => phase,
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
step => step,
|
||||||
|
en => logic1
|
||||||
|
);
|
||||||
|
I_square : sawtoothToSquare
|
||||||
|
GENERIC MAP (
|
||||||
|
bitNb => signalBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
square => square,
|
||||||
|
sawtooth => sawtooth_internal
|
||||||
|
);
|
||||||
|
I_tri : sawtoothToTriangle
|
||||||
|
GENERIC MAP (
|
||||||
|
bitNb => signalBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
triangle => triangle,
|
||||||
|
sawtooth => sawtooth_internal
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Implicit buffered output assignments
|
||||||
|
sawtooth <= sawtooth_internal;
|
||||||
|
|
||||||
|
END struct;
|
@ -0,0 +1,27 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator.sineTable.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:46 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.all;
|
||||||
|
|
||||||
|
ENTITY sineTable IS
|
||||||
|
GENERIC(
|
||||||
|
inputBitNb : positive := 16;
|
||||||
|
outputBitNb : positive := 16;
|
||||||
|
tableAddressBitNb : positive := 3
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
sine : OUT signed (outputBitNb-1 DOWNTO 0);
|
||||||
|
phase : IN unsigned (inputBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END sineTable ;
|
||||||
|
|
BIN
02-SplineInterpolator/SplineInterpolator/hds/.cache.dat
Normal file
BIN
02-SplineInterpolator/SplineInterpolator/hds/.cache.dat
Normal file
Binary file not shown.
@ -0,0 +1,42 @@
|
|||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 19 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 20 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 21 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 22 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 23 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 125,0 24 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 130,0 25 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 135,0 26 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 141,0 27 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 30 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 31 0
|
@ -0,0 +1,42 @@
|
|||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 18 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 19 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 114,0 20 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 119,0 21 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 125,0 22 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 130,0 23 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 140,0 24 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 135,0 25 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 149,0 26 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 29 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 30 0
|
@ -0,0 +1,39 @@
|
|||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 17 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 18 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 19 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 99,0 20 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 21 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 22 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 114,0 23 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 119,0 24 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 27 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 28 0
|
@ -0,0 +1,27 @@
|
|||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 17 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 18 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 19 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 20 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 23 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 24 0
|
@ -0,0 +1,21 @@
|
|||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 17 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 18 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 21 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 22 0
|
@ -0,0 +1,21 @@
|
|||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 18 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 19 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 22 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 23 0
|
@ -0,0 +1,36 @@
|
|||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 52,0 18 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 88,0 19 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 128,0 20 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 98,0 21 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 103,0 22 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 108,0 23 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 118,0 24 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 27 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 28 0
|
@ -0,0 +1,519 @@
|
|||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 84,0 9 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 12
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 0,0 16 2
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1,0 19 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 19
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1701,0 24 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1709,0 25 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1717,0 26 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1725,0 27 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2579,0 28 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2447,0 29 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1658,0 30 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 726,0 31 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1277,0 32 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1285,0 33 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1293,0 34 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1301,0 35 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1102,0 36 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2227,0 37 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 38
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 887,0 40 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 42
|
||||||
|
LIBRARY SplineInterpolator
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW student@version
|
||||||
|
GRAPHIC 3829,0 44 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 45 1
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 51 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 52 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 53 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 54 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 55 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 125,0 56 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 130,0 57 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 135,0 58 0
|
||||||
|
DESIGN interpolator@calculate@polynom
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 141,0 59 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3784,0 62 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 63 1
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 68 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 69 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 114,0 70 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 119,0 71 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 125,0 72 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 130,0 73 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 140,0 74 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 135,0 75 0
|
||||||
|
DESIGN interpolator@coefficients
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 149,0 76 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3739,0 79 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 80 1
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 84 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 85 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 86 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 99,0 87 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 104,0 88 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 109,0 89 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 114,0 90 0
|
||||||
|
DESIGN interpolator@shift@register
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 119,0 91 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3698,0 94 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 95 1
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 99 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 100 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 101 0
|
||||||
|
DESIGN interpolator@trigger
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 94,0 102 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3846,0 105 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 106 1
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 110 0
|
||||||
|
DESIGN offset@to@unsigned
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 111 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3584,0 114 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 115 1
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 120 0
|
||||||
|
DESIGN resizer
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 121 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3601,0 124 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 125 1
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 131 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 132 0
|
||||||
|
LIBRARY WaveformGenerator
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW student@version
|
||||||
|
GRAPHIC 3673,0 135 0
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 136 1
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 140 0
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 52,0 141 0
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 76,0 142 0
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 143 0
|
||||||
|
DESIGN sawtooth@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 89,0 144 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2908,0 147 0
|
||||||
|
DESIGN sawtooth@to@square
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 148 1
|
||||||
|
DESIGN sawtooth@to@square
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 152 0
|
||||||
|
DESIGN sawtooth@to@square
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 153 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2925,0 156 0
|
||||||
|
DESIGN sawtooth@to@triangle
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 157 1
|
||||||
|
DESIGN sawtooth@to@triangle
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 161 0
|
||||||
|
DESIGN sawtooth@to@triangle
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 162 0
|
||||||
|
LIBRARY SplineInterpolator
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 165
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3829,0 168 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3784,0 169 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3739,0 170 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3698,0 171 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3846,0 172 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3584,0 173 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3673,0 174 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2908,0 175 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2925,0 176 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3601,0 177 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 180
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2375,0 183 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 185
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2562,0 186 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 188
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 189
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3829,0 191 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3836,0 192 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1814,0 198 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1822,0 199 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1830,0 200 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1727,0 201 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2219,0 202 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1719,0 203 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1711,0 204 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1703,0 205 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2394,0 206 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3784,0 208 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3791,0 209 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1279,0 214 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1287,0 215 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1295,0 216 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1303,0 217 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1703,0 218 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1711,0 219 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1719,0 220 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1727,0 221 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2571,0 222 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3739,0 224 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3746,0 225 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1228,0 229 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1220,0 230 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1106,0 231 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1096,0 232 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1279,0 233 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1287,0 234 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1295,0 235 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1303,0 236 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3698,0 238 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3705,0 239 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1106,0 243 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 985,0 244 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 993,0 245 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2386,0 246 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3846,0 248 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3853,0 249 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 562,0 253 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2219,0 254 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3584,0 256 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3591,0 257 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 601,0 262 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 414,0 263 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3601,0 265 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3608,0 266 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1096,0 272 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 472,0 273 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3673,0 275 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 3680,0 276 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 414,0 280 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 15,0 281 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 237,0 282 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 781,0 283 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2449,0 284 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2908,0 286 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2915,0 287 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 480,0 291 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 887,0 292 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2925,0 294 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 2932,0 295 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 424,0 299 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 858,0 300 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 887,0 304 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 306
|
@ -0,0 +1,21 @@
|
|||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 57,0 19 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 83,0 20 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 23 0
|
||||||
|
DESIGN sine@table
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 24 0
|
@ -0,0 +1,15 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator_test.sineGen_tb.symbol
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - francois.francois (Aphelia)
|
||||||
|
-- at - 13:00:04 02/19/19
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
|
||||||
|
|
||||||
|
ENTITY sineGen_tb IS
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END sineGen_tb ;
|
||||||
|
|
@ -0,0 +1,108 @@
|
|||||||
|
--
|
||||||
|
-- VHDL Architecture SplineInterpolator_test.sineGen_tb.struct
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - axel.amand.UNKNOWN (WE7860)
|
||||||
|
-- at - 14:41:39 28.04.2023
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.ALL;
|
||||||
|
|
||||||
|
LIBRARY SplineInterpolator;
|
||||||
|
LIBRARY SplineInterpolator_test;
|
||||||
|
|
||||||
|
ARCHITECTURE struct OF sineGen_tb IS
|
||||||
|
|
||||||
|
-- Architecture declarations
|
||||||
|
constant signalBitNb: positive := 16;
|
||||||
|
constant phaseBitNb: positive := 10;
|
||||||
|
constant clockFrequency: real := 60.0E6;
|
||||||
|
--constant clockFrequency: real := 66.0E6;
|
||||||
|
|
||||||
|
-- Internal signal declarations
|
||||||
|
SIGNAL clock : std_ulogic;
|
||||||
|
SIGNAL reset : std_ulogic;
|
||||||
|
SIGNAL sawtooth : unsigned(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0);
|
||||||
|
SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0);
|
||||||
|
|
||||||
|
|
||||||
|
-- Component Declarations
|
||||||
|
COMPONENT sineGen
|
||||||
|
GENERIC (
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
phaseBitNb : positive := 10
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
clock : IN std_ulogic ;
|
||||||
|
reset : IN std_ulogic ;
|
||||||
|
step : IN unsigned (phaseBitNb-1 DOWNTO 0);
|
||||||
|
sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
sine : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
square : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
COMPONENT sineGen_tester
|
||||||
|
GENERIC (
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
phaseBitNb : positive := 10;
|
||||||
|
clockFrequency : real := 60.0E6
|
||||||
|
);
|
||||||
|
PORT (
|
||||||
|
sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
sine : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
square : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
triangle : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
clock : OUT std_ulogic ;
|
||||||
|
reset : OUT std_ulogic ;
|
||||||
|
step : OUT unsigned (phaseBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
END COMPONENT;
|
||||||
|
|
||||||
|
-- Optional embedded configurations
|
||||||
|
-- pragma synthesis_off
|
||||||
|
FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen;
|
||||||
|
FOR ALL : sineGen_tester USE ENTITY SplineInterpolator_test.sineGen_tester;
|
||||||
|
-- pragma synthesis_on
|
||||||
|
|
||||||
|
|
||||||
|
BEGIN
|
||||||
|
|
||||||
|
-- Instance port mappings.
|
||||||
|
I_DUT : sineGen
|
||||||
|
GENERIC MAP (
|
||||||
|
signalBitNb => signalBitNb,
|
||||||
|
phaseBitNb => phaseBitNb
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
step => step,
|
||||||
|
sawtooth => sawtooth,
|
||||||
|
sine => sine,
|
||||||
|
square => square,
|
||||||
|
triangle => triangle
|
||||||
|
);
|
||||||
|
I_tb : sineGen_tester
|
||||||
|
GENERIC MAP (
|
||||||
|
signalBitNb => signalBitNb,
|
||||||
|
phaseBitNb => phaseBitNb,
|
||||||
|
clockFrequency => clockFrequency
|
||||||
|
)
|
||||||
|
PORT MAP (
|
||||||
|
sawtooth => sawtooth,
|
||||||
|
sine => sine,
|
||||||
|
square => square,
|
||||||
|
triangle => triangle,
|
||||||
|
clock => clock,
|
||||||
|
reset => reset,
|
||||||
|
step => step
|
||||||
|
);
|
||||||
|
|
||||||
|
END struct;
|
@ -0,0 +1,32 @@
|
|||||||
|
-- VHDL Entity SplineInterpolator_test.sineGen_tester.interface
|
||||||
|
--
|
||||||
|
-- Created:
|
||||||
|
-- by - axel.amand.UNKNOWN (WE7860)
|
||||||
|
-- at - 14:41:39 28.04.2023
|
||||||
|
--
|
||||||
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||||
|
--
|
||||||
|
LIBRARY ieee;
|
||||||
|
USE ieee.std_logic_1164.all;
|
||||||
|
USE ieee.numeric_std.ALL;
|
||||||
|
|
||||||
|
ENTITY sineGen_tester IS
|
||||||
|
GENERIC(
|
||||||
|
signalBitNb : positive := 16;
|
||||||
|
phaseBitNb : positive := 10;
|
||||||
|
clockFrequency : real := 60.0E6
|
||||||
|
);
|
||||||
|
PORT(
|
||||||
|
sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
sine : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
square : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
triangle : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||||
|
clock : OUT std_ulogic;
|
||||||
|
reset : OUT std_ulogic;
|
||||||
|
step : OUT unsigned (phaseBitNb-1 DOWNTO 0)
|
||||||
|
);
|
||||||
|
|
||||||
|
-- Declarations
|
||||||
|
|
||||||
|
END sineGen_tester ;
|
||||||
|
|
BIN
02-SplineInterpolator/SplineInterpolator_test/hds/.cache.dat
Normal file
BIN
02-SplineInterpolator/SplineInterpolator_test/hds/.cache.dat
Normal file
Binary file not shown.
@ -0,0 +1,12 @@
|
|||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW symbol.sb
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 11 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 1,0 12 0
|
@ -0,0 +1,153 @@
|
|||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 142,0 9 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 12
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 0,0 16 2
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1,0 19 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 19
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 53,0 25 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 45,0 26 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 933,0 27 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 909,0 28 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 925,0 29 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 996,0 30 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 917,0 31 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 32
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 33
|
||||||
|
LIBRARY SplineInterpolator
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW struct
|
||||||
|
GRAPHIC 1519,0 35 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 14,0 36 1
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 52,0 41 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 88,0 42 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 128,0 43 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 98,0 44 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 103,0 45 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 108,0 46 0
|
||||||
|
DESIGN sine@gen
|
||||||
|
VIEW symbol.sb
|
||||||
|
GRAPHIC 118,0 47 0
|
||||||
|
LIBRARY SplineInterpolator_test
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW test
|
||||||
|
GRAPHIC 421,0 50 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 14,0 51 1
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 935,0 57 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 911,0 58 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 927,0 59 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 919,0 60 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 55,0 61 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 47,0 62 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 998,0 63 0
|
||||||
|
LIBRARY SplineInterpolator_test
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 66
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1519,0 69 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 421,0 70 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 73
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 75
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1519,0 77 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 1526,0 78 1
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 55,0 83 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 47,0 84 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 998,0 85 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 935,0 86 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 911,0 87 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 927,0 88 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 919,0 89 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 421,0 91 0
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
GRAPHIC 428,0 92 1
|
||||||
|
DESIGN sine@gen_tb
|
||||||
|
VIEW struct.bd
|
||||||
|
NO_GRAPHIC 107
|
@ -0,0 +1,36 @@
|
|||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
NO_GRAPHIC 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 50,0 8 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 13,0 13 1
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 409,0 19 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 414,0 20 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 419,0 21 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 429,0 22 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 399,0 23 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 404,0 24 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 424,0 25 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 1,0 28 0
|
||||||
|
DESIGN sine@gen_tester
|
||||||
|
VIEW interface
|
||||||
|
GRAPHIC 1,0 29 0
|
Loading…
Reference in New Issue
Block a user