1
0

start Task 5

This commit is contained in:
Rémi Heredero 2024-04-09 11:46:35 +02:00
parent 95d5c14ee7
commit 7f4a0c615f
36 changed files with 10015 additions and 254 deletions

View File

@ -0,0 +1,275 @@
-- VHDL Entity Morse.charToMorseController.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:13:01 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY charToMorseController IS
GENERIC(
characterBitNb : positive := 8;
unitCountBitNb : positive := 3
);
PORT(
morseOut : OUT std_ulogic;
clock : IN std_ulogic;
reset : IN std_ulogic;
charNotReady : IN std_ulogic;
char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
startCounter : OUT std_ulogic;
unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0);
counterDone : IN std_ulogic;
readChar : OUT std_ulogic
);
-- Declarations
END charToMorseController ;
--
-- VHDL Architecture Morse.charToMorseController.fsm
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:50:02 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ARCHITECTURE fsm OF charToMorseController IS
TYPE STATE_TYPE IS (
waitForChar,
storeChar,
sendDotStart,
sendDotWait,
sendDotSpacerStart,
sendDotSpacerWait,
sendDotDotStart,
sendDotDotWait,
sendDotDashStart,
sendDotDashWait,
sendDashStart,
sendDashWait,
sendDahsSpacerStart,
sendDashSpacerWait,
sendDashDotStart,
sendDashDashStart,
sendDashDotWait,
sendDashDashWait,
popChar,
popChar1
);
-- Declare current and next state signals
SIGNAL current_state : STATE_TYPE;
SIGNAL next_state : STATE_TYPE;
BEGIN
-----------------------------------------------------------------
clocked_proc : PROCESS (
clock,
reset
)
-----------------------------------------------------------------
BEGIN
IF (reset = '1') THEN
current_state <= waitForChar;
ELSIF (clock'EVENT AND clock = '1') THEN
current_state <= next_state;
END IF;
END PROCESS clocked_proc;
-----------------------------------------------------------------
nextstate_proc : PROCESS (
char,
charNotReady,
counterDone,
current_state
)
-----------------------------------------------------------------
BEGIN
CASE current_state IS
WHEN waitForChar =>
IF (charNotReady = '0') THEN
next_state <= storeChar;
ELSE
next_state <= waitForChar;
END IF;
WHEN storeChar =>
IF (character'val(to_integer(unsigned(char))) = 'e' or
character'val(to_integer(unsigned(char))) = 'i' or
character'val(to_integer(unsigned(char))) = 'a') THEN
next_state <= sendDotStart;
ELSIF (character'val(to_integer(unsigned(char))) = 't' or
character'val(to_integer(unsigned(char))) = 'n' or
character'val(to_integer(unsigned(char))) = 'm') THEN
next_state <= sendDashStart;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDotStart =>
next_state <= sendDotWait;
WHEN sendDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDotWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'e') THEN
next_state <= popChar1;
ELSE
next_state <= sendDotSpacerStart;
END IF;
WHEN sendDotSpacerStart =>
next_state <= sendDotSpacerWait;
WHEN sendDotSpacerWait =>
IF (counterDone = '0') THEN
next_state <= sendDotSpacerWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'i') THEN
next_state <= sendDotDotStart;
ELSE
next_state <= sendDotDashStart;
END IF;
WHEN sendDotDotStart =>
next_state <= sendDotDotWait;
WHEN sendDotDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDotDotWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDotDashStart =>
next_state <= sendDotDashWait;
WHEN sendDotDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDotDashWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDashStart =>
next_state <= sendDashWait;
WHEN sendDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDashWait;
ELSIF (character'val(to_integer(unsigned(char))) = 't') THEN
next_state <= popChar1;
ELSE
next_state <= sendDahsSpacerStart;
END IF;
WHEN sendDahsSpacerStart =>
next_state <= sendDashSpacerWait;
WHEN sendDashSpacerWait =>
IF (counterDone = '0') THEN
next_state <= sendDashSpacerWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'i') THEN
next_state <= sendDashDotStart;
ELSE
next_state <= sendDashDashStart;
END IF;
WHEN sendDashDotStart =>
next_state <= sendDashDotWait;
WHEN sendDashDashStart =>
next_state <= sendDashDashWait;
WHEN sendDashDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDashDotWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDashDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDashDashWait;
ELSE
next_state <= popChar1;
END IF;
WHEN popChar =>
IF (counterDone = '1') THEN
next_state <= waitForChar;
ELSE
next_state <= popChar;
END IF;
WHEN popChar1 =>
next_state <= popChar;
WHEN OTHERS =>
next_state <= waitForChar;
END CASE;
END PROCESS nextstate_proc;
-----------------------------------------------------------------
output_proc : PROCESS (
current_state
)
-----------------------------------------------------------------
BEGIN
-- Default Assignment
morseOut <= '0';
startCounter <= '0';
unitNb <= (others => '0');
readChar <= '0';
-- Combined Actions
CASE current_state IS
WHEN sendDotStart =>
startCounter <= '1';
WHEN sendDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDotSpacerStart =>
startCounter <= '1';
WHEN sendDotSpacerWait =>
unitNb <= to_unsigned(1, unitNb'length);
WHEN sendDotDotStart =>
startCounter <= '1';
WHEN sendDotDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDotDashStart =>
startCounter <= '1';
WHEN sendDotDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN sendDashStart =>
startCounter <= '1';
WHEN sendDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN sendDahsSpacerStart =>
startCounter <= '1';
WHEN sendDashSpacerWait =>
unitNb <= to_unsigned(1, unitNb'length);
WHEN sendDashDotStart =>
startCounter <= '1';
WHEN sendDashDashStart =>
startCounter <= '1';
WHEN sendDashDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDashDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN popChar =>
unitNb <= to_unsigned(3, unitNb'length);
WHEN popChar1 =>
readChar <= '1';
startCounter <= '1';
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS output_proc;
END fsm;

View File

@ -7,45 +7,335 @@ ARCHITECTURE studentVersion OF charToMorseController IS
is0, is1, is2, is3, is4, is5, is6, is7, is0, is1, is2, is3, is4, is5, is6, is7,
is8, is9 : std_ulogic; is8, is9 : std_ulogic;
type T_MORSE is (SHORT, LONG, SPACE, END_WORD);
--type registers_type is array (1 to 5) of T_MORSE;
signal register1: T_MORSE;
signal register2: T_MORSE;
signal register3: T_MORSE;
signal register4: T_MORSE;
signal register5: T_MORSE;
TYPE GENERAL_STATE_TYPE IS (
waitForChar,
storeChar,
sendRegisters,
sended
);
signal general_current_state, general_next_state : GENERAL_STATE_TYPE;
TYPE SENDING_STATE_TYPE IS (
waiting,
sendR1,
waitR1,
sendR2,
waitR2,
sendR3,
waitR3,
sendR4,
waitR4,
sendR5,
waitEndWord
);
signal sending_current_state, sending_next_state : SENDING_STATE_TYPE;
BEGIN BEGIN
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
-- conditions for morse units -- conditions for morse units
isA <= '1' when std_match(unsigned(char), "1-0" & x"1") else '0'; isA <= '1' when std_match(unsigned(char), "1-0" & x"1") else '0'; -- 1-0 0001
isB <= '1' when std_match(unsigned(char), "1-0" & x"2") else '0'; isB <= '1' when std_match(unsigned(char), "1-0" & x"2") else '0'; -- 1-0 0010
isC <= '1' when std_match(unsigned(char), "1-0" & x"3") else '0'; isC <= '1' when std_match(unsigned(char), "1-0" & x"3") else '0'; -- 1-0 0011
isD <= '1' when std_match(unsigned(char), "1-0" & x"4") else '0'; isD <= '1' when std_match(unsigned(char), "1-0" & x"4") else '0'; -- 1-0 0100
isE <= '1' when std_match(unsigned(char), "1-0" & x"5") else '0'; isE <= '1' when std_match(unsigned(char), "1-0" & x"5") else '0'; -- 1-0 0101
isF <= '1' when std_match(unsigned(char), "1-0" & x"6") else '0'; isF <= '1' when std_match(unsigned(char), "1-0" & x"6") else '0'; -- 1-0 0110
isG <= '1' when std_match(unsigned(char), "1-0" & x"7") else '0'; isG <= '1' when std_match(unsigned(char), "1-0" & x"7") else '0'; -- 1-0 0111
isH <= '1' when std_match(unsigned(char), "1-0" & x"8") else '0'; isH <= '1' when std_match(unsigned(char), "1-0" & x"8") else '0'; -- 1-0 1000
isI <= '1' when std_match(unsigned(char), "1-0" & x"9") else '0'; isI <= '1' when std_match(unsigned(char), "1-0" & x"9") else '0'; -- 1-0 1001
isJ <= '1' when std_match(unsigned(char), "1-0" & x"A") else '0'; isJ <= '1' when std_match(unsigned(char), "1-0" & x"A") else '0'; -- 1-0 1010
isK <= '1' when std_match(unsigned(char), "1-0" & x"B") else '0'; isK <= '1' when std_match(unsigned(char), "1-0" & x"B") else '0'; -- 1-0 1011
isL <= '1' when std_match(unsigned(char), "1-0" & x"C") else '0'; isL <= '1' when std_match(unsigned(char), "1-0" & x"C") else '0'; -- 1-0 1100
isM <= '1' when std_match(unsigned(char), "1-0" & x"D") else '0'; isM <= '1' when std_match(unsigned(char), "1-0" & x"D") else '0'; -- 1-0 1101
isN <= '1' when std_match(unsigned(char), "1-0" & x"E") else '0'; isN <= '1' when std_match(unsigned(char), "1-0" & x"E") else '0'; -- 1-0 1110
isO <= '1' when std_match(unsigned(char), "1-0" & x"F") else '0'; isO <= '1' when std_match(unsigned(char), "1-0" & x"F") else '0'; -- 1-0 1111
isP <= '1' when std_match(unsigned(char), "1-1" & x"0") else '0'; isP <= '1' when std_match(unsigned(char), "1-1" & x"0") else '0'; -- 1-1 0000
isQ <= '1' when std_match(unsigned(char), "1-1" & x"1") else '0'; isQ <= '1' when std_match(unsigned(char), "1-1" & x"1") else '0'; -- 1-1 0001
isR <= '1' when std_match(unsigned(char), "1-1" & x"2") else '0'; isR <= '1' when std_match(unsigned(char), "1-1" & x"2") else '0'; -- 1-1 0010
isS <= '1' when std_match(unsigned(char), "1-1" & x"3") else '0'; isS <= '1' when std_match(unsigned(char), "1-1" & x"3") else '0'; -- 1-1 0011
isT <= '1' when std_match(unsigned(char), "1-1" & x"4") else '0'; isT <= '1' when std_match(unsigned(char), "1-1" & x"4") else '0'; -- 1-1 0100
isU <= '1' when std_match(unsigned(char), "1-1" & x"5") else '0'; isU <= '1' when std_match(unsigned(char), "1-1" & x"5") else '0'; -- 1-1 0101
isV <= '1' when std_match(unsigned(char), "1-1" & x"6") else '0'; isV <= '1' when std_match(unsigned(char), "1-1" & x"6") else '0'; -- 1-1 0110
isW <= '1' when std_match(unsigned(char), "1-1" & x"7") else '0'; isW <= '1' when std_match(unsigned(char), "1-1" & x"7") else '0'; -- 1-1 0111
isX <= '1' when std_match(unsigned(char), "1-1" & x"8") else '0'; isX <= '1' when std_match(unsigned(char), "1-1" & x"8") else '0'; -- 1-1 1000
isY <= '1' when std_match(unsigned(char), "1-1" & x"9") else '0'; isY <= '1' when std_match(unsigned(char), "1-1" & x"9") else '0'; -- 1-1 1001
isZ <= '1' when std_match(unsigned(char), "1-1" & x"A") else '0'; isZ <= '1' when std_match(unsigned(char), "1-1" & x"A") else '0'; -- 1-1 1010
is0 <= '1' when std_match(unsigned(char), "011" & x"0") else '0'; is0 <= '1' when std_match(unsigned(char), "011" & x"0") else '0'; -- 011 0000
is1 <= '1' when std_match(unsigned(char), "011" & x"1") else '0'; is1 <= '1' when std_match(unsigned(char), "011" & x"1") else '0'; -- 011 0001
is2 <= '1' when std_match(unsigned(char), "011" & x"2") else '0'; is2 <= '1' when std_match(unsigned(char), "011" & x"2") else '0'; -- 011 0010
is3 <= '1' when std_match(unsigned(char), "011" & x"3") else '0'; is3 <= '1' when std_match(unsigned(char), "011" & x"3") else '0'; -- 011 0011
is4 <= '1' when std_match(unsigned(char), "011" & x"4") else '0'; is4 <= '1' when std_match(unsigned(char), "011" & x"4") else '0'; -- 011 0100
is5 <= '1' when std_match(unsigned(char), "011" & x"5") else '0'; is5 <= '1' when std_match(unsigned(char), "011" & x"5") else '0'; -- 011 0101
is6 <= '1' when std_match(unsigned(char), "011" & x"6") else '0'; is6 <= '1' when std_match(unsigned(char), "011" & x"6") else '0'; -- 011 0110
is7 <= '1' when std_match(unsigned(char), "011" & x"7") else '0'; is7 <= '1' when std_match(unsigned(char), "011" & x"7") else '0'; -- 011 0111
is8 <= '1' when std_match(unsigned(char), "011" & x"8") else '0'; is8 <= '1' when std_match(unsigned(char), "011" & x"8") else '0'; -- 011 1000
is9 <= '1' when std_match(unsigned(char), "011" & x"9") else '0'; is9 <= '1' when std_match(unsigned(char), "011" & x"9") else '0'; -- 011 1001
process(reset, clock) begin
if reset = '1' then
general_current_state <= waitForChar;
sending_current_state <= waiting;
elsif rising_edge(clock) then
general_current_state <= general_next_state;
sending_current_state <= sending_next_state;
end if;
end process;
process(general_current_state) begin
case general_current_state is
when waitForChar =>
register1 <= END_WORD;
register2 <= END_WORD;
register3 <= END_WORD;
register4 <= END_WORD;
register5 <= END_WORD;
if charNotReady = '0' then
general_next_state <= storeChar;
else
general_next_state <= waitForChar;
end if;
when storeChar =>
if isA then
register1 <= SHORT;
register2 <= LONG;
general_next_state <= sendRegisters;
elsif isB then
register1 <= LONG;
register2 <= SHORT;
register3 <= SHORT;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isC then
register1 <= LONG;
register2 <= SHORT;
register3 <= LONG;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isD then
register1 <= LONG;
register2 <= SHORT;
register3 <= SHORT;
general_next_state <= sendRegisters;
elsif isE then
register1 <= SHORT;
general_next_state <= sendRegisters;
elsif isF then
register1 <= SHORT;
register2 <= SHORT;
register3 <= LONG;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isG then
register1 <= LONG;
register2 <= LONG;
register3 <= SHORT;
general_next_state <= sendRegisters;
elsif isH then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isI then
register1 <= SHORT;
register2 <= SHORT;
general_next_state <= sendRegisters;
elsif isJ then
register1 <= SHORT;
register2 <= LONG;
register3 <= LONG;
register4 <= LONG;
general_next_state <= sendRegisters;
elsif isK then
register1 <= LONG;
register2 <= SHORT;
register3 <= LONG;
general_next_state <= sendRegisters;
elsif isL then
register1 <= SHORT;
register2 <= LONG;
register3 <= SHORT;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isM then
register1 <= LONG;
register2 <= LONG;
general_next_state <= sendRegisters;
elsif isN then
register1 <= LONG;
register2 <= SHORT;
general_next_state <= sendRegisters;
elsif isO then
register1 <= LONG;
register2 <= LONG;
register3 <= LONG;
general_next_state <= sendRegisters;
elsif isP then
register1 <= SHORT;
register2 <= LONG;
register3 <= LONG;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif isQ then
register1 <= LONG;
register2 <= LONG;
register3 <= SHORT;
register4 <= LONG;
general_next_state <= sendRegisters;
elsif isR then
register1 <= SHORT;
register2 <= LONG;
register3 <= SHORT;
general_next_state <= sendRegisters;
elsif isS then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
general_next_state <= sendRegisters;
elsif isT then
register1 <= LONG;
general_next_state <= sendRegisters;
elsif isU then
register1 <= SHORT;
register2 <= SHORT;
register3 <= LONG;
general_next_state <= sendRegisters;
elsif isV then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
register4 <= LONG;
general_next_state <= sendRegisters;
elsif isW then
register1 <= SHORT;
register2 <= LONG;
register3 <= LONG;
general_next_state <= sendRegisters;
elsif isX then
register1 <= LONG;
register2 <= SHORT;
register3 <= SHORT;
register4 <= LONG;
general_next_state <= sendRegisters;
elsif isY then
register1 <= LONG;
register2 <= SHORT;
register3 <= LONG;
register4 <= LONG;
general_next_state <= sendRegisters;
elsif isZ then
register1 <= LONG;
register2 <= LONG;
register3 <= SHORT;
register4 <= SHORT;
general_next_state <= sendRegisters;
elsif is0 then
register1 <= LONG;
register2 <= LONG;
register3 <= LONG;
register4 <= LONG;
register5 <= LONG;
general_next_state <= sendRegisters;
elsif is1 then
register1 <= SHORT;
register2 <= LONG;
register3 <= LONG;
register4 <= LONG;
register5 <= LONG;
general_next_state <= sendRegisters;
elsif is2 then
register1 <= SHORT;
register2 <= SHORT;
register3 <= LONG;
register4 <= LONG;
register5 <= LONG;
general_next_state <= sendRegisters;
elsif is3 then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
register4 <= LONG;
register5 <= LONG;
general_next_state <= sendRegisters;
elsif is4 then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
register4 <= SHORT;
register5 <= LONG;
general_next_state <= sendRegisters;
elsif is5 then
register1 <= SHORT;
register2 <= SHORT;
register3 <= SHORT;
register4 <= SHORT;
register5 <= SHORT;
general_next_state <= sendRegisters;
elsif is6 then
register1 <= LONG;
register2 <= SHORT;
register3 <= SHORT;
register4 <= SHORT;
register5 <= SHORT;
general_next_state <= sendRegisters;
elsif is7 then
register1 <= LONG;
register2 <= LONG;
register3 <= SHORT;
register4 <= SHORT;
register5 <= SHORT;
general_next_state <= sendRegisters;
elsif is8 then
register1 <= LONG;
register2 <= LONG;
register3 <= LONG;
register4 <= SHORT;
register5 <= SHORT;
general_next_state <= sendRegisters;
elsif is9 then
register1 <= LONG;
register2 <= LONG;
register3 <= LONG;
register4 <= LONG;
register5 <= SHORT;
general_next_state <= sendRegisters;
else
register1 <= END_WORD;
register2 <= END_WORD;
register3 <= END_WORD;
register4 <= END_WORD;
register5 <= END_WORD;
general_next_state <= storeChar;
end if;
when sendRegisters =>
sending_next_state <= sendR1;
when sended =>
register1 <= END_WORD;
register2 <= END_WORD;
register3 <= END_WORD;
register4 <= END_WORD;
register5 <= END_WORD;
WHEN OTHERS =>
general_next_state <= waitForChar;
end case;
end process;
process(sending_current_state) begin
end process;
morseOut <= '0'; morseOut <= '0';
startCounter <= '0'; startCounter <= '0';

View File

@ -0,0 +1,30 @@
-- VHDL Entity Morse.charToMorse.symbol
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:49:52 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY charToMorse IS
GENERIC(
characterBitNb : positive := 8;
unitCountDivide : positive := 10E3
);
PORT(
morseOut : OUT std_ulogic;
clock : IN std_ulogic;
reset : IN std_ulogic;
charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
readChar : OUT std_ulogic;
charNotReady : IN std_ulogic
);
-- Declarations
END charToMorse ;

View File

@ -0,0 +1,98 @@
--
-- VHDL Architecture Morse.charToMorse.struct
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:49:52 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
LIBRARY Morse;
ARCHITECTURE struct OF charToMorse IS
-- Architecture declarations
constant unitCountBitNb: positive := 3;
-- Internal signal declarations
SIGNAL startCounter : std_ulogic;
SIGNAL done : std_ulogic;
SIGNAL unitNb : unsigned(unitCountBitNb-1 DOWNTO 0);
-- Component Declarations
COMPONENT charToMorseController
GENERIC (
characterBitNb : positive := 8;
unitCountBitNb : positive := 3
);
PORT (
morseOut : OUT std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
charNotReady : IN std_ulogic ;
char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
startCounter : OUT std_ulogic ;
unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0);
counterDone : IN std_ulogic ;
readChar : OUT std_ulogic
);
END COMPONENT;
COMPONENT unitCounter
GENERIC (
unitCountDivide : positive := 10E3;
unitCountBitNb : positive := 3
);
PORT (
clock : IN std_ulogic ;
reset : IN std_ulogic ;
startCounter : IN std_ulogic ;
unitNb : IN unsigned (unitCountBitNb-1 DOWNTO 0);
done : OUT std_ulogic
);
END COMPONENT;
-- Optional embedded configurations
-- pragma synthesis_off
FOR ALL : charToMorseController USE ENTITY Morse.charToMorseController;
FOR ALL : unitCounter USE ENTITY Morse.unitCounter;
-- pragma synthesis_on
BEGIN
-- Instance port mappings.
I_ctl : charToMorseController
GENERIC MAP (
characterBitNb => characterBitNb,
unitCountBitNb => unitCountBitNb
)
PORT MAP (
morseOut => morseOut,
clock => clock,
reset => reset,
charNotReady => charNotReady,
char => charIn,
startCounter => startCounter,
unitNb => unitNb,
counterDone => done,
readChar => readChar
);
I_cnt : unitCounter
GENERIC MAP (
unitCountDivide => unitCountDivide,
unitCountBitNb => unitCountBitNb
)
PORT MAP (
clock => clock,
reset => reset,
startCounter => startCounter,
unitNb => unitNb,
done => done
);
END struct;

View File

@ -0,0 +1,33 @@
-- VHDL Entity Morse.charToMorseController.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:13:01 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY charToMorseController IS
GENERIC(
characterBitNb : positive := 8;
unitCountBitNb : positive := 3
);
PORT(
morseOut : OUT std_ulogic;
clock : IN std_ulogic;
reset : IN std_ulogic;
charNotReady : IN std_ulogic;
char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
startCounter : OUT std_ulogic;
unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0);
counterDone : IN std_ulogic;
readChar : OUT std_ulogic
);
-- Declarations
END charToMorseController ;

View File

@ -0,0 +1,234 @@
--
-- VHDL Architecture Morse.charToMorseController.fsm
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:50:02 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ARCHITECTURE fsm OF charToMorseController IS
TYPE STATE_TYPE IS (
waitForChar,
storeChar,
sendDotStart,
sendDotWait,
sendDotSpacerStart,
sendDotSpacerWait,
sendDotDotStart,
sendDotDotWait,
sendDotDashStart,
sendDotDashWait,
sendDashStart,
sendDashWait,
sendDahsSpacerStart,
sendDashSpacerWait,
sendDashDotStart,
sendDashDashStart,
sendDashDotWait,
sendDashDashWait,
popChar,
popChar1
);
-- Declare current and next state signals
SIGNAL current_state : STATE_TYPE;
SIGNAL next_state : STATE_TYPE;
BEGIN
-----------------------------------------------------------------
clocked_proc : PROCESS (
clock,
reset
)
-----------------------------------------------------------------
BEGIN
IF (reset = '1') THEN
current_state <= waitForChar;
ELSIF (clock'EVENT AND clock = '1') THEN
current_state <= next_state;
END IF;
END PROCESS clocked_proc;
-----------------------------------------------------------------
nextstate_proc : PROCESS (
char,
charNotReady,
counterDone,
current_state
)
-----------------------------------------------------------------
BEGIN
CASE current_state IS
WHEN waitForChar =>
IF (charNotReady = '0') THEN
next_state <= storeChar;
ELSE
next_state <= waitForChar;
END IF;
WHEN storeChar =>
IF (character'val(to_integer(unsigned(char))) = 'e' or
character'val(to_integer(unsigned(char))) = 'i' or
character'val(to_integer(unsigned(char))) = 'a') THEN
next_state <= sendDotStart;
ELSIF (character'val(to_integer(unsigned(char))) = 't' or
character'val(to_integer(unsigned(char))) = 'n' or
character'val(to_integer(unsigned(char))) = 'm') THEN
next_state <= sendDashStart;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDotStart =>
next_state <= sendDotWait;
WHEN sendDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDotWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'e') THEN
next_state <= popChar1;
ELSE
next_state <= sendDotSpacerStart;
END IF;
WHEN sendDotSpacerStart =>
next_state <= sendDotSpacerWait;
WHEN sendDotSpacerWait =>
IF (counterDone = '0') THEN
next_state <= sendDotSpacerWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'i') THEN
next_state <= sendDotDotStart;
ELSE
next_state <= sendDotDashStart;
END IF;
WHEN sendDotDotStart =>
next_state <= sendDotDotWait;
WHEN sendDotDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDotDotWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDotDashStart =>
next_state <= sendDotDashWait;
WHEN sendDotDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDotDashWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDashStart =>
next_state <= sendDashWait;
WHEN sendDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDashWait;
ELSIF (character'val(to_integer(unsigned(char))) = 't') THEN
next_state <= popChar1;
ELSE
next_state <= sendDahsSpacerStart;
END IF;
WHEN sendDahsSpacerStart =>
next_state <= sendDashSpacerWait;
WHEN sendDashSpacerWait =>
IF (counterDone = '0') THEN
next_state <= sendDashSpacerWait;
ELSIF (character'val(to_integer(unsigned(char))) = 'i') THEN
next_state <= sendDashDotStart;
ELSE
next_state <= sendDashDashStart;
END IF;
WHEN sendDashDotStart =>
next_state <= sendDashDotWait;
WHEN sendDashDashStart =>
next_state <= sendDashDashWait;
WHEN sendDashDotWait =>
IF (counterDone = '0') THEN
next_state <= sendDashDotWait;
ELSE
next_state <= popChar1;
END IF;
WHEN sendDashDashWait =>
IF (counterDone = '0') THEN
next_state <= sendDashDashWait;
ELSE
next_state <= popChar1;
END IF;
WHEN popChar =>
IF (counterDone = '1') THEN
next_state <= waitForChar;
ELSE
next_state <= popChar;
END IF;
WHEN popChar1 =>
next_state <= popChar;
WHEN OTHERS =>
next_state <= waitForChar;
END CASE;
END PROCESS nextstate_proc;
-----------------------------------------------------------------
output_proc : PROCESS (
current_state
)
-----------------------------------------------------------------
BEGIN
-- Default Assignment
morseOut <= '0';
startCounter <= '0';
unitNb <= (others => '0');
readChar <= '0';
-- Combined Actions
CASE current_state IS
WHEN sendDotStart =>
startCounter <= '1';
WHEN sendDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDotSpacerStart =>
startCounter <= '1';
WHEN sendDotSpacerWait =>
unitNb <= to_unsigned(1, unitNb'length);
WHEN sendDotDotStart =>
startCounter <= '1';
WHEN sendDotDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDotDashStart =>
startCounter <= '1';
WHEN sendDotDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN sendDashStart =>
startCounter <= '1';
WHEN sendDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN sendDahsSpacerStart =>
startCounter <= '1';
WHEN sendDashSpacerWait =>
unitNb <= to_unsigned(1, unitNb'length);
WHEN sendDashDotStart =>
startCounter <= '1';
WHEN sendDashDashStart =>
startCounter <= '1';
WHEN sendDashDotWait =>
unitNb <= to_unsigned(1, unitNb'length);
morseOut <= '1';
WHEN sendDashDashWait =>
unitNb <= to_unsigned(3, unitNb'length);
morseOut <= '1';
WHEN popChar =>
unitNb <= to_unsigned(3, unitNb'length);
WHEN popChar1 =>
readChar <= '1';
startCounter <= '1';
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS output_proc;
END fsm;

View File

@ -0,0 +1,31 @@
-- VHDL Entity Morse.morseEncoder.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:13:01 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY morseEncoder IS
GENERIC(
clockFrequency : real := 100.0E6;
uartBaudRate : real := 115.2E3;
uartDataBitNb : positive := 8;
unitDuration : real := 100.0E-3;
toneFrequency : real := 300.0
);
PORT(
morseCode : OUT std_ulogic;
clock : IN std_ulogic;
reset : IN std_ulogic;
RxD : IN std_ulogic
);
-- Declarations
END morseEncoder ;

View File

@ -0,0 +1,154 @@
--
-- VHDL Architecture Morse.morseEncoder.struct
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:50:20 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
LIBRARY Memory;
LIBRARY Morse;
LIBRARY RS232;
ARCHITECTURE struct OF morseEncoder IS
-- Architecture declarations
constant fifoDepth : positive := 100;
-- Internal signal declarations
SIGNAL characterReg : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0);
SIGNAL characterIn : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0);
SIGNAL characterValid : std_ulogic;
SIGNAL morseOut : std_ulogic;
SIGNAL tone : std_ulogic;
SIGNAL charNotReady : std_ulogic;
SIGNAL readChar : std_ulogic;
-- Component Declarations
COMPONENT FIFO_bram
GENERIC (
dataBitNb : positive := 8;
depth : positive := 8
);
PORT (
write : IN std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0);
read : IN std_ulogic ;
dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0);
empty : OUT std_ulogic ;
full : OUT std_ulogic
);
END COMPONENT;
COMPONENT charToMorse
GENERIC (
characterBitNb : positive := 8;
unitCountDivide : positive := 10E3
);
PORT (
morseOut : OUT std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
readChar : OUT std_ulogic ;
charNotReady : IN std_ulogic
);
END COMPONENT;
COMPONENT toneGenerator
GENERIC (
toneDivide : positive := 100E3
);
PORT (
tone : OUT std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic
);
END COMPONENT;
COMPONENT serialPortReceiver
GENERIC (
dataBitNb : positive := 8;
baudRateDivide : positive := 2083
);
PORT (
RxD : IN std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0);
dataValid : OUT std_ulogic
);
END COMPONENT;
-- Optional embedded configurations
-- pragma synthesis_off
FOR ALL : FIFO_bram USE ENTITY Memory.FIFO_bram;
FOR ALL : charToMorse USE ENTITY Morse.charToMorse;
FOR ALL : serialPortReceiver USE ENTITY RS232.serialPortReceiver;
FOR ALL : toneGenerator USE ENTITY Morse.toneGenerator;
-- pragma synthesis_on
BEGIN
-- Architecture concurrent statements
-- HDL Embedded Text Block 1 eb1
morseCode <= morseOut and tone;
-- Instance port mappings.
I_FIFO : FIFO_bram
GENERIC MAP (
dataBitNb => uartDataBitNb,
depth => fifoDepth
)
PORT MAP (
write => characterValid,
clock => clock,
reset => reset,
dataOut => characterReg,
read => readChar,
dataIn => characterIn,
empty => charNotReady,
full => OPEN
);
I_enc : charToMorse
GENERIC MAP (
characterBitNb => uartDataBitNb,
unitCountDivide => integer(clockFrequency*unitDuration + 0.5)
)
PORT MAP (
morseOut => morseOut,
clock => clock,
reset => reset,
charNotReady => charNotReady,
charIn => characterReg,
readChar => readChar
);
I_tone : toneGenerator
GENERIC MAP (
toneDivide => integer(clockFrequency/toneFrequency + 0.5)
)
PORT MAP (
tone => tone,
clock => clock,
reset => reset
);
I_UART : serialPortReceiver
GENERIC MAP (
dataBitNb => uartDataBitNb,
baudRateDivide => integer(clockFrequency/uartBaudRate + 0.5)
)
PORT MAP (
RxD => RxD,
clock => clock,
reset => reset,
dataOut => characterIn,
dataValid => characterValid
);
END struct;

View File

@ -0,0 +1,26 @@
-- VHDL Entity Morse.toneGenerator.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:13:01 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY toneGenerator IS
GENERIC(
toneDivide : positive := 100E3
);
PORT(
tone : OUT std_ulogic;
clock : IN std_ulogic;
reset : IN std_ulogic
);
-- Declarations
END toneGenerator ;

View File

@ -0,0 +1,29 @@
-- VHDL Entity Morse.unitCounter.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:13:01 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY unitCounter IS
GENERIC(
unitCountDivide : positive := 10E3;
unitCountBitNb : positive := 3
);
PORT(
clock : IN std_ulogic;
reset : IN std_ulogic;
startCounter : IN std_ulogic;
unitNb : IN unsigned (unitCountBitNb-1 DOWNTO 0);
done : OUT std_ulogic
);
-- Declarations
END unitCounter ;

View File

@ -0,0 +1,33 @@
DESIGN char@to@morse
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 13,0 13 1
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 204,0 18 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 310,0 19 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 315,0 20 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 457,0 21 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 581,0 22 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 348,0 23 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 1,0 26 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 1,0 27 0

View File

@ -0,0 +1,161 @@
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 123,0 9 0
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 12
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 0,0 15 2
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 1,0 18 0
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 18
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 365,0 21 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 371,0 22 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 377,0 23 0
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 24
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 25
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW student@version
GRAPHIC 806,0 27 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 14,0 28 1
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 204,0 33 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 310,0 34 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 315,0 35 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 348,0 36 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 457,0 37 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 671,0 38 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 676,0 39 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 681,0 40 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 764,0 41 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 427,0 44 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 14,0 45 1
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 310,0 50 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 315,0 51 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 671,0 52 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 676,0 53 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 681,0 54 0
LIBRARY Morse
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 57
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 806,0 60 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 427,0 61 0
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 64
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 66
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 806,0 68 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 813,0 69 1
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 15,0 74 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 29,0 75 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 43,0 76 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 633,0 77 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 71,0 78 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 367,0 79 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 379,0 80 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 373,0 81 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 584,0 82 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 427,0 84 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 434,0 85 1
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 397,0 90 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 389,0 91 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 367,0 92 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 379,0 93 0
DESIGN char@to@morse
VIEW struct.bd
GRAPHIC 373,0 94 0
DESIGN char@to@morse
VIEW struct.bd
NO_GRAPHIC 97

View File

@ -0,0 +1,42 @@
DESIGN char@to@morse@controller
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 13,0 13 1
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 204,0 18 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 310,0 19 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 315,0 20 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 348,0 21 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 457,0 22 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 671,0 23 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 676,0 24 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 681,0 25 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 764,0 26 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 1,0 29 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 1,0 30 0

View File

@ -0,0 +1,600 @@
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 27,0 9 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 12
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 45 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 46
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 49 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 50
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 66,0 51 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 56,0 53 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 54
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 56 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 57
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 59 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 60
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 67 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 39,0 68 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 186,0 69 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 164,0 70 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 71
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 39,0 72 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 73
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 164,0 74 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 213,0 75 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 191,0 78 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 837,0 79 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 586,0 82 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 83
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 84 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 85
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 191,0 86 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 325,0 87 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 423,0 89 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 325,0 90 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 357,0 91 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 92 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 93
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 364,0 94 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 95
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 364,0 96 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 381,0 97 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 581,0 99 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 381,0 100 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 487,0 101 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 428,0 102 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 103
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 502,0 104 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 105
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 428,0 106 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 445,0 107 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 467,0 109 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 445,0 110 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 111
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 112 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 113
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 502,0 114 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 519,0 115 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 541,0 117 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 519,0 118 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 119
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 120 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 121
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 586,0 122 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 603,0 123 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 797,0 125 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 603,0 126 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 847,0 127 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 128 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 129
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 620,0 130 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 131
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 620,0 132 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 637,0 133 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 737,0 135 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 637,0 136 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 807,0 137 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 654,0 138 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 139
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 671,0 140 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 141
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 654,0 142 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 688,0 143 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 671,0 144 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 705,0 145 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 688,0 146 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 757,0 147 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 688,0 148 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 149
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 150 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 151
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 705,0 152 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 777,0 153 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 705,0 154 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 155
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 156 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 157
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1027,0 158 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1049,0 159 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 39,0 160 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 161
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1027,0 162 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 163
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 164 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1027,0 165 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 89,0 166 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 168
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 169 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 170
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 172 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 173
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 26,0 178 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 182
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 184 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 191,0 185 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 206,0 186 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 325,0 187 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 340,0 188 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 364,0 190 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 379,0 191 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 381,0 192 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 396,0 193 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 428,0 194 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 443,0 195 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 445,0 196 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 460,0 197 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 502,0 199 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 517,0 200 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 519,0 201 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 534,0 202 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 586,0 204 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 601,0 205 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 603,0 206 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 618,0 207 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 620,0 209 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 635,0 210 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 637,0 211 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 652,0 212 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 654,0 213 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 669,0 214 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 671,0 215 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 686,0 216 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 688,0 217 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 703,0 218 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 705,0 220 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 720,0 221 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1027,0 223 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1042,0 224 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1083,0 225 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 1098,0 226 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 228
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
GRAPHIC 2,0 230 0
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW fsm.sm
NO_GRAPHIC 232

View File

@ -0,0 +1,27 @@
DESIGN morse@encoder
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 13,0 13 1
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 204,0 21 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 310,0 22 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 315,0 23 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 671,0 24 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 1,0 27 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 1,0 28 0

View File

@ -0,0 +1,256 @@
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 105,0 9 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 12
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 0,0 17 2
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1,0 20 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 20
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 435,0 23 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 351,0 24 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 353,0 25 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 720,0 26 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 732,0 27 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1089,0 28 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1095,0 29 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 30
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 31
LIBRARY Memory
DESIGN @f@i@f@o_bram
VIEW @r@t@l
GRAPHIC 1764,0 33 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 14,0 34 1
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 168,0 39 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 173,0 40 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 178,0 41 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 188,0 42 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 193,0 43 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 216,0 44 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 221,0 45 0
DESIGN @f@i@f@o_bram
VIEW symbol.sb
GRAPHIC 229,0 46 0
LIBRARY Morse
DESIGN char@to@morse
VIEW struct
GRAPHIC 1073,0 49 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 14,0 50 1
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 204,0 55 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 310,0 56 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 315,0 57 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 457,0 58 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 581,0 59 0
DESIGN char@to@morse
VIEW symbol.sb
GRAPHIC 348,0 60 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 756,0 63 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 14,0 64 1
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 204,0 68 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 310,0 69 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 315,0 70 0
LIBRARY RS232
DESIGN serial@port@receiver
VIEW @r@t@l
GRAPHIC 193,0 73 0
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 14,0 74 1
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 168,0 79 0
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 173,0 80 0
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 178,0 81 0
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 188,0 82 0
DESIGN serial@port@receiver
VIEW symbol.sb
GRAPHIC 193,0 83 0
LIBRARY Morse
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 86
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1764,0 89 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1073,0 90 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 193,0 91 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 756,0 92 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 95
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 714,0 98 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 100
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 101
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1764,0 103 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1771,0 104 1
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 213,0 109 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 419,0 110 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 411,0 111 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 427,0 112 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1097,0 113 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 205,0 114 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1091,0 115 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1073,0 118 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1080,0 119 1
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 722,0 124 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 517,0 125 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 509,0 126 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1091,0 127 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 427,0 128 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1097,0 129 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 756,0 131 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 763,0 132 1
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 734,0 136 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 654,0 137 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 646,0 138 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 193,0 140 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 200,0 141 1
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 1550,0 146 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 15,0 147 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 43,0 148 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 205,0 149 0
DESIGN morse@encoder
VIEW struct.bd
GRAPHIC 213,0 150 0
DESIGN morse@encoder
VIEW struct.bd
NO_GRAPHIC 153

View File

@ -0,0 +1,24 @@
DESIGN tone@generator
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 13,0 13 1
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 204,0 17 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 310,0 18 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 315,0 19 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 1,0 22 0
DESIGN tone@generator
VIEW symbol.sb
GRAPHIC 1,0 23 0

View File

@ -0,0 +1,30 @@
DESIGN unit@counter
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 13,0 13 1
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 310,0 18 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 315,0 19 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 671,0 20 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 676,0 21 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 681,0 22 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 1,0 25 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 1,0 26 0

View File

@ -0,0 +1,6 @@
EDIT_LOCK
remi.heredero
UNKNOWN
WE2330808
16888
27.03.2024-13:12:03.328000

View File

@ -0,0 +1,15 @@
-- VHDL Entity Morse_test.charToMorseController_tb.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:12:49 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
ENTITY charToMorseController_tb IS
-- Declarations
END charToMorseController_tb ;

View File

@ -0,0 +1,137 @@
--
-- VHDL Architecture Morse_test.charToMorseController_tb.struct
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:50:43 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;
LIBRARY Morse;
LIBRARY Morse_test;
ARCHITECTURE struct OF charToMorseController_tb IS
-- Architecture declarations
constant characterBitNb: positive := 7;
constant unitCountBitNb: positive := 3;
constant unitDuration: real := 50.0E-6;
constant clockFrequency: real := 60.0E6;
--constant clockFrequency: real := 66.0E6;
-- Internal signal declarations
SIGNAL char : std_ulogic_vector(characterBitNb-1 DOWNTO 0);
SIGNAL charNotReady : std_ulogic;
SIGNAL clock : std_ulogic;
SIGNAL done : std_ulogic;
SIGNAL morseOut : std_ulogic;
SIGNAL readChar : std_ulogic;
SIGNAL reset : std_ulogic;
SIGNAL startCounter : std_ulogic;
SIGNAL unitNb : unsigned(unitCountBitNb-1 DOWNTO 0);
-- Component Declarations
COMPONENT charToMorseController
GENERIC (
characterBitNb : positive := 8;
unitCountBitNb : positive := 3
);
PORT (
morseOut : OUT std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
charNotReady : IN std_ulogic ;
char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0);
startCounter : OUT std_ulogic ;
unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0);
counterDone : IN std_ulogic ;
readChar : OUT std_ulogic
);
END COMPONENT;
COMPONENT unitCounter
GENERIC (
unitCountDivide : positive := 10E3;
unitCountBitNb : positive := 3
);
PORT (
clock : IN std_ulogic ;
reset : IN std_ulogic ;
startCounter : IN std_ulogic ;
unitNb : IN unsigned (unitCountBitNb-1 DOWNTO 0);
done : OUT std_ulogic
);
END COMPONENT;
COMPONENT charToMorseController_tester
GENERIC (
clockFrequency : real;
characterBitNb : positive
);
PORT (
morseOut : IN std_ulogic ;
readChar : IN std_ulogic ;
char : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0);
charNotReady : OUT std_ulogic ;
clock : OUT std_ulogic ;
reset : OUT std_ulogic
);
END COMPONENT;
-- Optional embedded configurations
-- pragma synthesis_off
FOR ALL : charToMorseController USE ENTITY Morse.charToMorseController;
FOR ALL : charToMorseController_tester USE ENTITY Morse_test.charToMorseController_tester;
FOR ALL : unitCounter USE ENTITY Morse.unitCounter;
-- pragma synthesis_on
BEGIN
-- Instance port mappings.
I_DUT : charToMorseController
GENERIC MAP (
characterBitNb => characterBitNb,
unitCountBitNb => unitCountBitNb
)
PORT MAP (
morseOut => morseOut,
clock => clock,
reset => reset,
charNotReady => charNotReady,
char => char,
startCounter => startCounter,
unitNb => unitNb,
counterDone => done,
readChar => readChar
);
I_cnt : unitCounter
GENERIC MAP (
unitCountDivide => integer(clockFrequency*unitDuration + 0.5),
unitCountBitNb => unitCountBitNb
)
PORT MAP (
clock => clock,
reset => reset,
startCounter => startCounter,
unitNb => unitNb,
done => done
);
I_tester : charToMorseController_tester
GENERIC MAP (
clockFrequency => clockFrequency,
characterBitNb => characterBitNb
)
PORT MAP (
morseOut => morseOut,
readChar => readChar,
char => char,
charNotReady => charNotReady,
clock => clock,
reset => reset
);
END struct;

View File

@ -0,0 +1,30 @@
-- VHDL Entity Morse_test.charToMorseController_tester.interface
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:50:43 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;
ENTITY charToMorseController_tester IS
GENERIC(
clockFrequency : real;
characterBitNb : positive
);
PORT(
morseOut : IN std_ulogic;
readChar : IN std_ulogic;
char : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0);
charNotReady : OUT std_ulogic;
clock : OUT std_ulogic;
reset : OUT std_ulogic
);
-- Declarations
END charToMorseController_tester ;

View File

@ -0,0 +1,15 @@
-- VHDL Entity Morse_test.morseEncoder_tb.symbol
--
-- Created:
-- by - francois.francois (Aphelia)
-- at - 09:12:49 03/29/19
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
ENTITY morseEncoder_tb IS
-- Declarations
END morseEncoder_tb ;

View File

@ -0,0 +1,101 @@
--
-- VHDL Architecture Morse_test.morseEncoder_tb.struct
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:51:13 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;
LIBRARY Morse;
LIBRARY Morse_test;
ARCHITECTURE struct OF morseEncoder_tb IS
-- Architecture declarations
constant clockFrequency: real := 60.0E6;
--constant clockFrequency: real := 66.0E6;
constant uartBaudRate: real := 115.2E3;
constant uartDataBitNb: positive := 7;
constant morseUnitDuration: real := 50.0E-6;
constant morseToneFrequency: real := 10.0/morseUnitDuration;
-- Internal signal declarations
SIGNAL RxD : std_ulogic;
SIGNAL clock : std_ulogic;
SIGNAL morseCode : std_ulogic;
SIGNAL reset : std_ulogic;
-- Component Declarations
COMPONENT morseEncoder
GENERIC (
clockFrequency : real := 100.0E6;
uartBaudRate : real := 115.2E3;
uartDataBitNb : positive := 8;
unitDuration : real := 100.0E-3;
toneFrequency : real := 300.0
);
PORT (
morseCode : OUT std_ulogic ;
clock : IN std_ulogic ;
reset : IN std_ulogic ;
RxD : IN std_ulogic
);
END COMPONENT;
COMPONENT morseEncoder_tester
GENERIC (
clockFrequency : real;
uartBaudRate : real;
uartDataBitNb : positive
);
PORT (
morseCode : IN std_ulogic ;
RxD : OUT std_ulogic ;
clock : OUT std_ulogic ;
reset : OUT std_ulogic
);
END COMPONENT;
-- Optional embedded configurations
-- pragma synthesis_off
FOR ALL : morseEncoder USE ENTITY Morse.morseEncoder;
FOR ALL : morseEncoder_tester USE ENTITY Morse_test.morseEncoder_tester;
-- pragma synthesis_on
BEGIN
-- Instance port mappings.
I_DUT : morseEncoder
GENERIC MAP (
clockFrequency => clockFrequency,
uartBaudRate => uartBaudRate,
uartDataBitNb => uartDataBitNb,
unitDuration => morseUnitDuration,
toneFrequency => morseToneFrequency
)
PORT MAP (
morseCode => morseCode,
clock => clock,
reset => reset,
RxD => RxD
);
I_tester : morseEncoder_tester
GENERIC MAP (
clockFrequency => clockFrequency,
uartBaudRate => uartBaudRate,
uartDataBitNb => uartDataBitNb
)
PORT MAP (
morseCode => morseCode,
RxD => RxD,
clock => clock,
reset => reset
);
END struct;

View File

@ -0,0 +1,29 @@
-- VHDL Entity Morse_test.morseEncoder_tester.interface
--
-- Created:
-- by - axel.amand.UNKNOWN (WE7860)
-- at - 14:51:13 28.04.2023
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;
ENTITY morseEncoder_tester IS
GENERIC(
clockFrequency : real;
uartBaudRate : real;
uartDataBitNb : positive
);
PORT(
morseCode : IN std_ulogic;
RxD : OUT std_ulogic;
clock : OUT std_ulogic;
reset : OUT std_ulogic
);
-- Declarations
END morseEncoder_tester ;

View File

@ -0,0 +1,12 @@
DESIGN char@to@morse@controller_tb
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN char@to@morse@controller_tb
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN char@to@morse@controller_tb
VIEW symbol.sb
GRAPHIC 1,0 11 0
DESIGN char@to@morse@controller_tb
VIEW symbol.sb
GRAPHIC 1,0 12 0

View File

@ -0,0 +1,213 @@
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 142,0 9 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 12
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 0,0 16 2
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 1,0 19 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 19
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3175,0 26 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3096,0 27 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2564,0 28 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3145,0 29 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3167,0 30 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3088,0 31 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2556,0 32 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3133,0 33 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3139,0 34 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 35
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 36
LIBRARY Morse
DESIGN char@to@morse@controller
VIEW student@version
GRAPHIC 3079,0 38 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 14,0 39 1
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 204,0 44 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 310,0 45 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 315,0 46 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 348,0 47 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 457,0 48 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 671,0 49 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 676,0 50 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 681,0 51 0
DESIGN char@to@morse@controller
VIEW symbol.sb
GRAPHIC 764,0 52 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3124,0 55 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 14,0 56 1
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 310,0 61 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 315,0 62 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 671,0 63 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 676,0 64 0
DESIGN unit@counter
VIEW symbol.sb
GRAPHIC 681,0 65 0
LIBRARY Morse_test
DESIGN char@to@morse@controller_tester
VIEW test
GRAPHIC 3410,0 68 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 14,0 69 1
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3169,0 74 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3090,0 75 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3177,0 76 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3098,0 77 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2566,0 78 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2558,0 79 0
LIBRARY Morse_test
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 82
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3079,0 85 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3410,0 86 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3124,0 87 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 90
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 92
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3079,0 94 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3086,0 95 1
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3169,0 100 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2566,0 101 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 2558,0 102 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3098,0 103 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3177,0 104 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3135,0 105 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3141,0 106 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3147,0 107 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3090,0 108 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3124,0 110 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3131,0 111 1
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3159,0 116 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3151,0 117 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3135,0 118 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3141,0 119 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3147,0 120 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3410,0 122 0
DESIGN char@to@morse@controller_tb
VIEW struct.bd
GRAPHIC 3417,0 123 1
DESIGN char@to@morse@controller_tb
VIEW struct.bd
NO_GRAPHIC 136

View File

@ -0,0 +1,33 @@
DESIGN char@to@morse@controller_tester
VIEW interface
NO_GRAPHIC 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 50,0 8 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 13,0 13 1
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 586,0 18 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 591,0 19 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 571,0 20 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 576,0 21 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 581,0 22 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 596,0 23 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 1,0 26 0
DESIGN char@to@morse@controller_tester
VIEW interface
GRAPHIC 1,0 27 0

View File

@ -0,0 +1,12 @@
DESIGN morse@encoder_tb
VIEW symbol.sb
NO_GRAPHIC 0
DESIGN morse@encoder_tb
VIEW symbol.sb
GRAPHIC 50,0 8 0
DESIGN morse@encoder_tb
VIEW symbol.sb
GRAPHIC 1,0 11 0
DESIGN morse@encoder_tb
VIEW symbol.sb
GRAPHIC 1,0 12 0

View File

@ -0,0 +1,117 @@
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 142,0 9 0
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 12
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 0,0 16 2
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 1,0 19 0
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 19
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2572,0 27 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2564,0 28 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2580,0 29 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2556,0 30 0
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 31
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 32
LIBRARY Morse
DESIGN morse@encoder
VIEW struct
GRAPHIC 2755,0 34 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 14,0 35 1
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 204,0 43 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 310,0 44 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 315,0 45 0
DESIGN morse@encoder
VIEW symbol.sb
GRAPHIC 671,0 46 0
LIBRARY Morse_test
DESIGN morse@encoder_tester
VIEW test
GRAPHIC 2178,0 49 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 14,0 50 1
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2582,0 56 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2574,0 57 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2566,0 58 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2558,0 59 0
LIBRARY Morse_test
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 62
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2755,0 65 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2178,0 66 0
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 69
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 71
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2755,0 73 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2762,0 74 1
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2582,0 82 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2566,0 83 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2558,0 84 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2574,0 85 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2178,0 87 0
DESIGN morse@encoder_tb
VIEW struct.bd
GRAPHIC 2185,0 88 1
DESIGN morse@encoder_tb
VIEW struct.bd
NO_GRAPHIC 100

View File

@ -0,0 +1,27 @@
DESIGN morse@encoder_tester
VIEW interface
NO_GRAPHIC 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 50,0 8 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 13,0 13 1
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 563,0 19 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 573,0 20 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 558,0 21 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 568,0 22 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 1,0 25 0
DESIGN morse@encoder_tester
VIEW interface
GRAPHIC 1,0 26 0

View File

@ -1,5 +1,6 @@
[Concat] [Concat]
Board = $HDS_PROJECT_DIR/../Board/concat Board = $HDS_PROJECT_DIR/../Board/concat
Morse = $HDS_PROJECT_DIR\..\Morse\concat
[ModelSim] [ModelSim]
Board = $SCRATCH_DIR/Board Board = $SCRATCH_DIR/Board
Common = $SCRATCH_DIR/Common Common = $SCRATCH_DIR/Common

View File

@ -0,0 +1,55 @@
version "8.0"
RenoirTeamPreferences [
(BaseTeamPreferences
version "1.1"
verConcat 0
ttDGProps [
]
fcDGProps [
]
smDGProps [
]
asmDGProps [
]
bdDGProps [
]
syDGProps [
]
)
(VersionControlTeamPreferences
version "1.1"
VMPlugin ""
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMSvnHdlRepository ""
VMDefaultView 1
VMCurrentDesignHierarchyOnly 0
VMUserData 1
VMGeneratedHDL 0
VMVerboseMode 0
VMAlwaysEmpty 0
VMSetTZ 1
VMSymbol 1
VMCurrentDesignHierarchy 0
VMMultipleRepositoryMode 0
VMSnapshotViewMode 0
backupNameClashes 1
clearCaseMaster 0
)
(CustomizeTeamPreferences
version "1.1"
FileTypes [
]
)
]

View File

@ -1280,6 +1280,7 @@ projectPaths [
"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\05-Morse\\Prefs\\hds.hdp" "C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\05-Morse\\Prefs\\hds.hdp"
"C:\\work\\edu\\sem\\labo\\sem_labs\\05-Morse\\Prefs\\hds.hdp" "C:\\work\\edu\\sem\\labo\\sem_labs\\05-Morse\\Prefs\\hds.hdp"
"C:\\dev\\sem-labs\\05-Morse\\Prefs\\hds.hdp" "C:\\dev\\sem-labs\\05-Morse\\Prefs\\hds.hdp"
"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\hds.hdp"
] ]
libMappingsRootDir "" libMappingsRootDir ""
teamLibMappingsRootDir "" teamLibMappingsRootDir ""
@ -1300,288 +1301,144 @@ exportedDirectories [
exportStdIncludeRefs 1 exportStdIncludeRefs 1
exportStdPackageRefs 1 exportStdPackageRefs 1
) )
printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN"
pageSizes [ pageSizes [
(PageSizeInfo (PageSizeInfo
name "12\" x 18\"" name "Letter"
type 512 width 783
width 1106 height 1013
height 1658
) )
(PageSizeInfo (PageSizeInfo
name "11\" x 17\"" name "Legal"
type 17
width 1013
height 1566
)
(PageSizeInfo
name "Legal (8,5\" x 14\")"
type 5 type 5
width 783 width 783
height 1290 height 1290
) )
(PageSizeInfo (PageSizeInfo
name "Letter (8,5\" x 11\")" name "Statement"
width 783
height 1013
)
(PageSizeInfo
name "Executive (7,25\"x10,5\")"
type 7
width 667
height 967
)
(PageSizeInfo
name "5,5\" x 8,5\""
type 6 type 6
width 506 width 506
height 783 height 783
) )
(PageSizeInfo (PageSizeInfo
name "A3 (297 x 420 mm)" name "Executive"
type 7
width 667
height 967
)
(PageSizeInfo
name "A3"
type 8 type 8
width 1077 width 1077
height 1523 height 1523
) )
(PageSizeInfo (PageSizeInfo
name "A4 (210 x 297 mm)" name "A4"
type 9 type 9
width 761 width 761
height 1077 height 1077
) )
(PageSizeInfo (PageSizeInfo
name "A5 (148 x 210 mm)" name "A5"
type 11 type 11
width 538 width 536
height 761 height 761
) )
(PageSizeInfo (PageSizeInfo
name "A6 (105 x 148 mm)" name "B4 (JIS)"
type 70
width 380
height 538
)
(PageSizeInfo
name "B4 JIS (257 x 364 mm)"
type 12 type 12
width 932 width 932
height 1320 height 1320
) )
(PageSizeInfo (PageSizeInfo
name "B5 JIS (182 x 257 mm)" name "B5 (JIS)"
type 13 type 13
width 660 width 660
height 932 height 932
) )
(PageSizeInfo (PageSizeInfo
name "B6 JIS (128 x 182 mm)" name "11×17"
type 88 type 17
width 464 width 1013
height 660 height 1566
) )
(PageSizeInfo (PageSizeInfo
name "8\" x 13\"" name "Envelope #10"
type 518
width 737
height 1198
)
(PageSizeInfo
name "8,25\" x 13\""
type 519
width 760
height 1198
)
(PageSizeInfo
name "8,5\" x 13\""
type 14
width 783
height 1198
)
(PageSizeInfo
name "8.5\" x 13.4\""
type 551
width 783
height 1235
)
(PageSizeInfo
name "Com10 Env.(4,125\"x9,5\")"
type 20 type 20
width 380 width 379
height 875 height 875
) )
(PageSizeInfo (PageSizeInfo
name "Env.Monar.(3,875\"x7,5\")" name "Envelope DL"
type 37
width 357
height 691
)
(PageSizeInfo
name "Env. DL (110 x 220 mm)"
type 27 type 27
width 399 width 399
height 798 height 798
) )
(PageSizeInfo (PageSizeInfo
name "Env. C6 (114 x 162 mm)" name "Envelope C5"
type 31
width 413
height 587
)
(PageSizeInfo
name "Env. C5 (162 x 229 mm)"
type 28 type 28
width 587 width 587
height 830 height 830
) )
(PageSizeInfo (PageSizeInfo
name "8K (267 x 390 mm)" name "Envelope B5"
type 520 type 34
width 968 width 638
height 1415 height 907
) )
(PageSizeInfo (PageSizeInfo
name "16K (195 x 267 mm)" name "Envelope Monarch"
type 521 type 37
width 707 width 357
height 968 height 691
) )
(PageSizeInfo (PageSizeInfo
name "8,25\" x 14\"" name "Japanese Postcard"
type 522 type 43
width 760 width 362
height 1290 height 536
) )
(PageSizeInfo (PageSizeInfo
name "11\" x 14\"" name "A6"
type 524 type 70
width 1013 width 380
height 1290 height 536
) )
(PageSizeInfo (PageSizeInfo
name "13\" x 19,2\"" name "Double Japan Postcard Rotated"
type 525 type 82
width 1198 width 536
height 1769 height 725
) )
(PageSizeInfo (PageSizeInfo
name "13\" x 19\"" name "Executive (JIS)"
type 526 type 119
width 1198 width 783
height 1751 height 1196
) )
(PageSizeInfo (PageSizeInfo
name "12,6\" x 19,2\"" name "Oficio 8.5x13"
type 527 type 120
width 1161 width 783
height 1769 height 1198
) )
(PageSizeInfo (PageSizeInfo
name "12,6\" x 18,5\"" name "12x18"
type 528 type 121
width 1161 width 1105
height 1704
)
(PageSizeInfo
name "13\" x 18\""
type 529
width 1198
height 1658 height 1658
) )
(PageSizeInfo (PageSizeInfo
name "10\" x 14\"" name "8K 273x394 mm"
type 16 type 139
width 921 width 990
height 1290 height 1428
) )
(PageSizeInfo (PageSizeInfo
name "10\" x 15\"" name "16K 197x273 mm"
type 546 type 140
width 921 width 714
height 1382 height 990
)
(PageSizeInfo
name "11\" x 15\""
type 539
width 1013
height 1382
)
(PageSizeInfo
name "SRA3 (320 x 450 mm)"
type 530
width 1161
height 1632
)
(PageSizeInfo
name "SRA4 (225 x 320 mm)"
type 531
width 816
height 1161
)
(PageSizeInfo
name "Format papier personnalisé"
type 256
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size1(215,9 x 279,4 mm)"
type 257
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size2(215,9 x 279,4 mm)"
type 258
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size3(215,9 x 279,4 mm)"
type 259
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size4(215,9 x 279,4 mm)"
type 260
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size5(215,9 x 279,4 mm)"
type 261
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size6(215,9 x 279,4 mm)"
type 262
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size7(215,9 x 279,4 mm)"
type 263
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size8(215,9 x 279,4 mm)"
type 264
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size9(215,9 x 279,4 mm)"
type 265
width 783
height 1013
)
(PageSizeInfo
name "Custom Paper Size10(215,9 x 279,4 mm)"
type 266
width 783
height 1013
) )
] ]
exportPageSetupInfo (PageSetupInfo exportPageSetupInfo (PageSetupInfo
@ -2939,7 +2796,7 @@ second ""
) )
(pair (pair
first "hierLevel" first "hierLevel"
second "1" second "3"
) )
(pair (pair
first "onPulldownMenu" first "onPulldownMenu"
@ -6392,7 +6249,7 @@ yPos 0
width 1936 width 1936
height 1056 height 1056
activeSidePanelTab 2 activeSidePanelTab 2
activeLibraryTab 1 activeLibraryTab 2
sidePanelSize 278 sidePanelSize 278
showUnixHiddenFiles 0 showUnixHiddenFiles 0
componentBrowserXpos 569 componentBrowserXpos 569

File diff suppressed because it is too large Load Diff

View File

@ -21,7 +21,7 @@ onShortcutBar 0
onPulldownMenu 0 onPulldownMenu 0
onToolbar 1 onToolbar 1
enabled 1 enabled 1
hierDepth 1 hierDepth 3
subTasks [ subTasks [
(HDSTool (HDSTool
hasAssociatedFileExt 0 hasAssociatedFileExt 0