Initial commit
This commit is contained in:
		| @@ -0,0 +1,4 @@ | ||||
| ARCHITECTURE studentVersion OF interpolatorCalculatePolynom IS | ||||
| BEGIN | ||||
|   sampleOut <= (others => '0'); | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,7 @@ | ||||
| ARCHITECTURE studentVersion OF interpolatorCoefficients IS | ||||
| BEGIN | ||||
|   a <= (others => '0'); | ||||
|   b <= (others => '0'); | ||||
|   c <= (others => '0'); | ||||
|   d <= (others => '0'); | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,7 @@ | ||||
| ARCHITECTURE studentVersion OF interpolatorShiftRegister IS | ||||
| BEGIN | ||||
|   sample1 <= (others => '0'); | ||||
|   sample2 <= (others => '0'); | ||||
|   sample3 <= (others => '0'); | ||||
|   sample4 <= (others => '0'); | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,4 @@ | ||||
| ARCHITECTURE studentVersion OF interpolatorTrigger IS | ||||
| BEGIN | ||||
|   triggerOut <= '0'; | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,4 @@ | ||||
| ARCHITECTURE studentVersion OF offsetToUnsigned IS | ||||
| BEGIN | ||||
|   unsignedOut <= (others => '0'); | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,4 @@ | ||||
| ARCHITECTURE studentVersion OF resizer IS | ||||
| BEGIN | ||||
|   resizeOut <= (others => '0'); | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1,27 @@ | ||||
| ARCHITECTURE studentVersion OF sineTable IS | ||||
|  | ||||
|   signal phaseTableAddress : unsigned(tableAddressBitNb-1 downto 0); | ||||
|   signal quarterSine : signed(sine'range); | ||||
|  | ||||
| BEGIN | ||||
|  | ||||
|   phaseTableAddress <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); | ||||
|  | ||||
|   quarterTable: process(phaseTableAddress) | ||||
|   begin | ||||
|     case to_integer(phaseTableAddress) is | ||||
|       when 0 => quarterSine <= to_signed(16#0000#, quarterSine'length); | ||||
|       when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); | ||||
|       when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); | ||||
|       when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); | ||||
|       when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); | ||||
|       when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); | ||||
|       when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); | ||||
|       when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); | ||||
|       when others => quarterSine <= (others => '-'); | ||||
|     end case; | ||||
|   end process quarterTable; | ||||
|  | ||||
|   sine <= (others => '0'); | ||||
|  | ||||
| END ARCHITECTURE studentVersion; | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom interpolatorCalculatePolynom_studentVersion.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom interpolatorCoefficients_studentVersion.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom interpolatorShiftRegister_studentVersion.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom interpolatorTrigger_studentVersion.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom offsetToUnsigned_studentVersion.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom resizer_studentVersion.vhd | ||||
| @@ -0,0 +1,3 @@ | ||||
| DEFAULT_FILE atom sine@gen/struct.bd | ||||
| DEFAULT_ARCHITECTURE atom struct | ||||
| TOP_MARKER atom 1 | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom studentVersion | ||||
| DEFAULT_FILE atom sineTable_studentVersion.vhd | ||||
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1486
									
								
								02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1486
									
								
								02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										7266
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										7266
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1809
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1809
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1506
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1506
									
								
								02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
		Reference in New Issue
	
	Block a user