Archived
1
0

Initial commit

This commit is contained in:
github-classroom[bot]
2024-02-23 13:01:05 +00:00
committed by GitHub
commit d212040c30
1914 changed files with 1290006 additions and 0 deletions

View File

@ -0,0 +1,70 @@
LIBRARY std;
USE std.textio.all;
LIBRARY Common_test;
USE Common_test.testUtils.all;
ARCHITECTURE test OF charToMorseController_tester IS
-- clock and reset
constant clockPeriod: time := (1.0/clockFrequency) * 1 sec;
signal sClock: std_uLogic := '1';
signal sReset: std_uLogic := '1';
-- character input
constant textToSend : string := "tea time";
constant charInputDelay : time := 200 us;
signal writePointer, readPointer : integer := 0;
signal fifoDataAvailable: std_uLogic := '0';
BEGIN
-- clock and reset
sClock <= not sClock after clockPeriod/2;
clock <= transport sClock after clockPeriod*9/10;
sReset <= '1', '0' after 2*clockPeriod;
reset <= sReset;
------------------------------------------------------------------------------
-- test sequence
testSequence: process
begin
-- send characters
for index in 1 to textToSend'length loop
wait for charInputDelay;
writePointer <= writePointer + 1;
end loop;
-- end of fifo input
print(cr & cr);
assert false
report "End of text"
severity note;
wait;
end process testSequence;
------------------------------------------------------------------------------
-- fifo simulation
fifo: process
begin
-- wait for action
wait until rising_edge(sClock);
-- add char in fifo
if readChar = '1' then
readPointer <= readPointer + 1;
end if;
-- end of simulation
if readPointer = textToSend'length then
wait for charInputDelay;
print(cr & cr);
assert false
report "End of simulation"
severity failure;
end if;
end process fifo;
char <= std_ulogic_vector(to_unsigned(
character'pos(textToSend(readPointer+1)), char'length
)) when (readPointer < textToSend'length) and (fifoDataAvailable = '1')
else (others => '-');
fifoDataAvailable <= '1' when readPointer < writePointer
else '0';
charNotReady <= not fifoDataAvailable;
END ARCHITECTURE test;

View File

@ -0,0 +1,108 @@
LIBRARY Common_test;
USE Common_test.testUtils.all;
ARCHITECTURE test OF morseDecoder_tester IS
-- clock and reset
constant clockPeriod: time := (1.0/clockFrequency) * 1 sec;
signal sClock: std_uLogic := '1';
signal sReset: std_uLogic := '1';
-- UART
constant uartPeriod: time := (1.0/uartBaudRate) * 1 sec;
constant uartWriteInterval: time := 2 ms;
signal uartInString : string(1 to 32);
signal uartSendInString: std_uLogic;
signal uartSendInDone: std_uLogic;
signal uartInByte: character;
signal uartSendInByte: std_uLogic;
BEGIN
-- clock and reset
sClock <= not sClock after clockPeriod/2;
clock <= transport sClock after clockPeriod*9/10;
sReset <= '1', '0' after 2*clockPeriod;
reset <= sReset;
------------------------------------------------------------------------------
-- test sequence
process
begin
uartSendInString <= '0';
wait for 4*uartPeriod;
-- characters with max. 2 Morse symbols
print("Sending characters with max. 2 symbols");
uartInString <= pad("tea time", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- characters starting with a dot
print("Sending characters starting with a dot");
uartInString <= pad("eish54v3uf2arlwpj1", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- characters starting with a dash
print("Sending characters starting with a dash");
uartInString <= pad("tndb6xkcymgz7qo890", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- end of simulation
print(cr & cr);
assert false
report "End of simulation"
severity failure;
wait;
end process;
--============================================================================
-- uart send
rsSendSerialString: process
constant uartBytePeriod : time := 15*uartPeriod;
variable commandRight: natural;
begin
uartSendInByte <= '0';
uartSendInDone <= '0';
wait until rising_edge(uartSendInString);
commandRight := uartInString'right;
while uartInString(commandRight) = ' ' loop
commandRight := commandRight-1;
end loop;
for index in uartInString'left to commandRight loop
uartInByte <= uartInString(index);
uartSendInByte <= '1', '0' after 1 ns;
wait for uartBytePeriod;
end loop;
uartInByte <= cr;
uartSendInByte <= '1', '0' after 1 ns;
wait for uartBytePeriod;
uartSendInDone <= '1';
wait for 1 ns;
end process rsSendSerialString;
rsSendSerialByte: process
variable rxData: unsigned(uartDataBitNb-1 downto 0);
begin
RxD <= '1';
wait until rising_edge(uartSendInByte);
rxData := to_unsigned(character'pos(uartInByte), rxData'length);
RxD <= '0';
wait for uartPeriod;
for index in rxData'reverse_range loop
RxD <= rxData(index);
wait for uartPeriod;
end loop;
end process rsSendSerialByte;
END ARCHITECTURE test;

View File

@ -0,0 +1,106 @@
LIBRARY Common_test;
USE Common_test.testUtils.all;
ARCHITECTURE test OF morseEncoder_tester IS
-- clock and reset
constant clockPeriod: time := (1.0/clockFrequency) * 1 sec;
signal sClock: std_uLogic := '1';
signal sReset: std_uLogic := '1';
-- UART
constant uartPeriod: time := (1.0/uartBaudRate) * 1 sec;
constant uartWriteInterval: time := 8 ms;
signal uartInString : string(1 to 32);
signal uartSendInString: std_uLogic;
signal uartSendInDone: std_uLogic;
signal uartInByte: character;
signal uartSendInByte: std_uLogic;
BEGIN
-- clock and reset
sClock <= not sClock after clockPeriod/2;
clock <= transport sClock after clockPeriod*9/10;
sReset <= '1', '0' after 2*clockPeriod;
reset <= sReset;
------------------------------------------------------------------------------
-- test sequence
process
begin
uartSendInString <= '0';
wait for 4*uartPeriod;
-- characters with max. 2 Morse symbols
print("Sending characters with max. 2 symbols");
uartInString <= pad("tea time", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- characters starting with a dot
print("Sending characters starting with a dot");
uartInString <= pad("eish54v3uf2arlwpj1", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- characters starting with a dash
print("Sending characters starting with a dash");
uartInString <= pad("tndb6xkcymgz7qo890", uartInString'length);
uartSendInString <= '1', '0' after 1 ns;
wait until uartSendInDone = '1';
wait for uartWriteInterval;
-- end of simulation
print(cr & cr);
assert false
report "End of simulation"
severity failure;
wait;
end process;
--============================================================================
-- uart send
rsSendSerialString: process
constant uartBytePeriod : time := 15*uartPeriod;
variable commandRight: natural;
begin
uartSendInByte <= '0';
uartSendInDone <= '0';
wait until rising_edge(uartSendInString);
commandRight := uartInString'right;
while uartInString(commandRight) = ' ' loop
commandRight := commandRight-1;
end loop;
for index in uartInString'left to commandRight loop
uartInByte <= uartInString(index);
uartSendInByte <= '1', '0' after 1 ns;
wait for uartBytePeriod;
end loop;
uartInByte <= cr;
uartSendInByte <= '1', '0' after 1 ns;
wait for uartBytePeriod;
uartSendInDone <= '1';
wait for 1 ns;
end process rsSendSerialString;
rsSendSerialByte: process
variable rxData: unsigned(uartDataBitNb-1 downto 0);
begin
RxD <= '1';
wait until rising_edge(uartSendInByte);
rxData := to_unsigned(character'pos(uartInByte), rxData'length);
RxD <= '0';
wait for uartPeriod;
for index in rxData'reverse_range loop
RxD <= rxData(index);
wait for uartPeriod;
end loop;
end process rsSendSerialByte;
END ARCHITECTURE test;

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

View File

@ -0,0 +1 @@
DIALECT atom VHDL_2008

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,3 @@
DEFAULT_FILE atom char@to@morse@controller_tb/struct.bd
DEFAULT_ARCHITECTURE atom struct
TOP_MARKER atom 1

View File

@ -0,0 +1,2 @@
DEFAULT_FILE atom charToMorseController_tester_test.vhd
DEFAULT_ARCHITECTURE atom test

View File

@ -0,0 +1,3 @@
DEFAULT_FILE atom morse@decoder_tb/struct.bd
DEFAULT_ARCHITECTURE atom struct
TOP_MARKER atom 1

View File

@ -0,0 +1,2 @@
DEFAULT_FILE atom morseDecoder_tester_test.vhd
DEFAULT_ARCHITECTURE atom test

View File

@ -0,0 +1,3 @@
DEFAULT_FILE atom morse@encoder_tb/struct.bd
DEFAULT_ARCHITECTURE atom struct
TOP_MARKER atom 1

View File

@ -0,0 +1,2 @@
DEFAULT_FILE atom morseEncoder_tester_test.vhd
DEFAULT_ARCHITECTURE atom test

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,988 @@
DocumentHdrVersion "1.1"
Header (DocumentHdr
packageRefs [
(PackageRef
library "ieee"
unitName "std_logic_1164"
itemName "all"
)
(PackageRef
library "ieee"
unitName "numeric_std"
itemName "all"
)
]
)
version "15.1"
appVersion "2002.1a (Build 22)"
model (Symbol
VExpander (VariableExpander
vvMap [
(vvPair
variable " "
value " "
)
(vvPair
variable "HDLDir"
value "D:\\Users\\ELN_labs\\VHDL_gen"
)
(vvPair
variable "SideDataDesignDir"
value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.info"
)
(vvPair
variable "SideDataUserDir"
value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.user"
)
(vvPair
variable "SourceDir"
value "U:\\SEm_curves\\Test"
)
(vvPair
variable "appl"
value "HDL Designer - Pro"
)
(vvPair
variable "d"
value "U:\\SEm_curves\\Test\\waveform@gen_tester"
)
(vvPair
variable "d_logical"
value "U:\\SEm_curves\\Test\\waveformGen_tester"
)
(vvPair
variable "date"
value "06/09/08"
)
(vvPair
variable "day"
value "Mon"
)
(vvPair
variable "day_long"
value "Monday"
)
(vvPair
variable "dd"
value "09"
)
(vvPair
variable "ext"
value "<TBD>"
)
(vvPair
variable "f"
value "interface"
)
(vvPair
variable "f_logical"
value "interface"
)
(vvPair
variable "group"
value "UNKNOWN"
)
(vvPair
variable "host"
value "WE1647"
)
(vvPair
variable "library"
value "Curves_test"
)
(vvPair
variable "library_downstream_ModelSim"
value "D:\\Users\\ELN_labs\\VHDL_comp"
)
(vvPair
variable "mm"
value "06"
)
(vvPair
variable "month"
value "Jun"
)
(vvPair
variable "month_long"
value "June"
)
(vvPair
variable "p"
value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface"
)
(vvPair
variable "p_logical"
value "U:\\SEm_curves\\Test\\waveformGen_tester\\interface"
)
(vvPair
variable "series"
value "HDL Designer Series"
)
(vvPair
variable "time"
value "17:30:05"
)
(vvPair
variable "unit"
value "waveformGen_tester"
)
(vvPair
variable "user"
value "cof"
)
(vvPair
variable "version"
value "2002.1a (Build 22)"
)
(vvPair
variable "view"
value "interface"
)
(vvPair
variable "year"
value "2008"
)
(vvPair
variable "yy"
value "08"
)
]
)
uid 66,0
optionalChildren [
*1 (SymbolBody
uid 8,0
optionalChildren [
*2 (CptPort
uid 107,0
ps "OnEdgeStrategy"
shape (Triangle
uid 108,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "28625,5250,29375,6000"
)
n "clock"
t "std_ulogic"
m 1
o 3
r 1
d 0
s 0
sf 1
tg (CPTG
uid 109,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 110,0
ro 270
va (VaSet
font "Verdana,9,0"
)
xt "28400,7000,29600,9700"
st "clock"
ju 2
blo "29400,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 111,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,2000,59500,2800"
st "clock : OUT std_ulogic ;
"
)
)
*3 (CptPort
uid 112,0
ps "OnEdgeStrategy"
shape (Triangle
uid 113,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "26625,5250,27375,6000"
)
n "en"
t "std_ulogic"
m 1
o 4
r 2
d 0
s 0
sf 1
tg (CPTG
uid 114,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 115,0
ro 270
va (VaSet
font "Verdana,9,0"
)
xt "26400,7000,27600,8200"
st "en"
ju 2
blo "27400,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 116,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,2800,59500,3600"
st "en : OUT std_ulogic ;
"
)
)
*4 (CptPort
uid 117,0
ps "OnEdgeStrategy"
shape (Triangle
uid 118,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "30625,5250,31375,6000"
)
n "reset"
t "std_ulogic"
m 1
o 2
r 3
d 0
s 0
sf 1
tg (CPTG
uid 119,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 120,0
ro 270
va (VaSet
font "Verdana,9,0"
)
xt "30400,7000,31600,9600"
st "reset"
ju 2
blo "31400,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 121,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,3600,59500,4400"
st "reset : OUT std_ulogic ;
"
)
)
*5 (CptPort
uid 122,0
ps "OnEdgeStrategy"
shape (Triangle
uid 123,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "22625,5250,23375,6000"
)
n "step"
t "unsigned"
b "(bitNb-1 DOWNTO 0)"
m 1
o 3
r 4
d 0
s 0
sf 1
tg (CPTG
uid 124,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 125,0
ro 270
va (VaSet
font "Verdana,9,0"
)
xt "22400,7000,23600,17400"
st "step : (bitNb-1:0)"
ju 2
blo "23400,7000"
tm "CptPortNameMgr"
)
)
dt (MLText
uid 126,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,4400,67000,5200"
st "step : OUT unsigned (bitNb-1 DOWNTO 0)
"
)
)
]
shape (Rectangle
uid 9,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,67000,14000"
)
oxt "15000,6000,66000,14000"
biTextGroup (BiTextGroup
uid 10,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
uid 11,0
va (VaSet
font "Verdana,9,1"
)
xt "35050,8800,42250,10000"
st "Curves_test"
blo "35050,9800"
)
second (Text
uid 12,0
va (VaSet
font "Verdana,9,1"
)
xt "35050,10000,46950,11200"
st "waveformGen_tester"
blo "35050,11000"
)
)
gi *6 (GenericInterface
uid 13,0
ps "CenterOffsetStrategy"
matrix (Matrix
uid 14,0
text (MLText
uid 15,0
va (VaSet
font "Courier New,8,0"
)
xt "16000,6000,27500,8400"
st "Generic Declarations
bitNb positive 16
"
)
header "Generic Declarations"
)
elements [
(GiElement
name "bitNb"
type "positive"
value "16"
)
]
)
portInstanceVisAsIs 1
portInstanceVis (PortSigDisplay
)
)
*7 (Grouping
uid 16,0
optionalChildren [
*8 (CommentText
uid 18,0
shape (Rectangle
uid 19,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,48000,53000,49000"
)
oxt "18000,70000,35000,71000"
text (MLText
uid 20,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,48000,45500,49000"
st "
by %user on %dd %month %year"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*9 (CommentText
uid 21,0
shape (Rectangle
uid 22,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "53000,44000,57000,45000"
)
oxt "35000,66000,39000,67000"
text (MLText
uid 23,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "53200,44000,55800,45000"
st "
Project:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*10 (CommentText
uid 24,0
shape (Rectangle
uid 25,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,46000,53000,47000"
)
oxt "18000,68000,35000,69000"
text (MLText
uid 26,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,46000,46200,47000"
st "
<enter diagram title here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*11 (CommentText
uid 27,0
shape (Rectangle
uid 28,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,46000,36000,47000"
)
oxt "14000,68000,18000,69000"
text (MLText
uid 29,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,46000,33900,47000"
st "
Title:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*12 (CommentText
uid 30,0
shape (Rectangle
uid 31,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "53000,45000,73000,49000"
)
oxt "35000,67000,55000,71000"
text (MLText
uid 32,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "53200,45200,62400,46200"
st "
<enter comments here>"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*13 (CommentText
uid 33,0
shape (Rectangle
uid 34,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "57000,44000,73000,45000"
)
oxt "39000,66000,55000,67000"
text (MLText
uid 35,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "57200,44000,67300,45000"
st "
<enter project name here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*14 (CommentText
uid 36,0
shape (Rectangle
uid 37,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,44000,53000,46000"
)
oxt "14000,66000,35000,68000"
text (MLText
uid 38,0
va (VaSet
fg "32768,0,0"
)
xt "39150,44500,45850,45500"
st "
<company name>"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*15 (CommentText
uid 39,0
shape (Rectangle
uid 40,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,47000,36000,48000"
)
oxt "14000,69000,18000,70000"
text (MLText
uid 41,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,47000,33900,48000"
st "
Path:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*16 (CommentText
uid 42,0
shape (Rectangle
uid 43,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "32000,48000,36000,49000"
)
oxt "14000,70000,18000,71000"
text (MLText
uid 44,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "32200,48000,34500,49000"
st "
Edited:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*17 (CommentText
uid 45,0
shape (Rectangle
uid 46,0
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "36000,47000,53000,48000"
)
oxt "18000,69000,35000,70000"
text (MLText
uid 47,0
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
)
xt "36200,47000,51900,48000"
st "
%library/%unit/%view"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
uid 17,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "32000,44000,73000,49000"
)
oxt "14000,66000,55000,71000"
)
]
LanguageMgr "VhdlLangMgr"
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 1
isActive 1
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *18 (PackageList
uid 48,0
stg "VerticalLayoutStrategy"
textVec [
*19 (Text
uid 49,0
va (VaSet
font "arial,8,1"
)
xt "0,0,5400,1000"
st "Package List"
blo "0,800"
)
*20 (MLText
uid 50,0
va (VaSet
)
xt "0,1000,11300,4000"
st "LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.ALL;"
tm "PackageList"
)
]
)
windowSize "0,0,895,750"
viewArea "0,0,0,0"
cachedDiagramExtent "0,0,0,0"
pageBreakOrigin "0,0"
defaultCommentText (CommentText
shape (Rectangle
layer 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
lineColor "0,0,32768"
)
xt "0,0,15000,5000"
)
text (MLText
va (VaSet
fg "0,0,32768"
font "Courier New,9,0"
)
xt "200,200,2200,1400"
st "
Text"
tm "CommentText"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
)
)
defaultPanel (Panel
shape (RectFrame
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "32768,0,0"
lineWidth 2
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (Text
va (VaSet
font "Verdana,9,1"
)
xt "1000,1000,4400,2200"
st "Panel0"
blo "1000,2000"
tm "PanelText"
)
)
)
parentViewRef (DesignUnitViewRef
libraryName "Curves_test"
duName "waveformGen_tb"
viewName "struct.bd"
)
defaultSymbolBody (SymbolBody
shape (Rectangle
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,35000,26000"
)
biTextGroup (BiTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
va (VaSet
font "Verdana,9,1"
)
xt "22600,14800,27400,16000"
st "<library>"
blo "22600,15800"
)
second (Text
va (VaSet
font "Verdana,9,1"
)
xt "22600,16000,25900,17200"
st "<cell>"
blo "22600,17000"
)
)
gi *21 (GenericInterface
ps "CenterOffsetStrategy"
matrix (Matrix
text (MLText
va (VaSet
isHidden 1
font "Courier New,8,0"
)
xt "0,12000,0,12000"
)
header "Generic Declarations"
)
elements [
]
)
portInstanceVisAsIs 1
portInstanceVis (PortSigDisplay
)
)
defaultCptPort (CptPort
ps "OnEdgeStrategy"
shape (Triangle
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "0,0,750,750"
)
n "In0"
t "std_logic_vector"
b "(15 DOWNTO 0)"
o 0
r 0
d 0
s 0
sf 1
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
font "Verdana,9,0"
)
xt "0,750,1800,1950"
st "In0"
blo "0,1750"
tm "CptPortNameMgr"
)
)
dt (MLText
va (VaSet
font "Courier New,8,0"
)
)
)
defaultCptPortBuffer (CptPort
ps "OnEdgeStrategy"
shape (Diamond
va (VaSet
vasetType 1
fg "65535,65535,65535"
bg "0,0,0"
)
xt "0,0,750,750"
)
n "Buffer0"
t "std_logic_vector"
b "(15 DOWNTO 0)"
m 3
o 0
r 0
d 0
s 0
sf 1
tg (CPTG
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
va (VaSet
font "Verdana,9,0"
)
xt "0,750,3600,1950"
st "Buffer0"
blo "0,1750"
tm "CptPortNameMgr"
)
)
dt (MLText
va (VaSet
font "Courier New,8,0"
)
)
)
DeclarativeBlock *22 (SymDeclBlock
uid 1,0
stg "SymDeclLayoutStrategy"
declLabel (Text
uid 2,0
va (VaSet
font "Arial,8,1"
)
xt "42000,0,47400,1000"
st "Declarations"
blo "42000,800"
)
portLabel (Text
uid 3,0
va (VaSet
font "Arial,8,1"
)
xt "42000,1000,44300,2000"
st "Ports:"
blo "42000,1800"
)
externalLabel (Text
uid 4,0
va (VaSet
font "Arial,8,1"
)
xt "42000,5200,44000,6200"
st "User:"
blo "42000,6000"
)
internalLabel (Text
uid 6,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "42000,0,47800,1000"
st "Internal User:"
blo "42000,800"
)
externalText (MLText
uid 5,0
va (VaSet
font "Courier New,8,0"
)
xt "44000,6200,44000,6200"
tm "SyDeclarativeTextMgr"
)
internalText (MLText
uid 7,0
va (VaSet
isHidden 1
font "Courier New,8,0"
)
xt "42000,0,42000,0"
tm "SyDeclarativeTextMgr"
)
)
lastUid 126,0
)