Initial commit
This commit is contained in:
14
10-PipelinedOperators/Board/hdl/DFF_sim.vhd
Normal file
14
10-PipelinedOperators/Board/hdl/DFF_sim.vhd
Normal file
@ -0,0 +1,14 @@
|
||||
ARCHITECTURE sim OF DFF IS
|
||||
BEGIN
|
||||
|
||||
process(clk, clr)
|
||||
begin
|
||||
if clr = '1' then
|
||||
q <= '0';
|
||||
elsif rising_edge(clk) then
|
||||
q <= d;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
END ARCHITECTURE sim;
|
||||
|
7
10-PipelinedOperators/Board/hdl/buff_sim.vhd
Normal file
7
10-PipelinedOperators/Board/hdl/buff_sim.vhd
Normal file
@ -0,0 +1,7 @@
|
||||
ARCHITECTURE sim OF buff IS
|
||||
BEGIN
|
||||
|
||||
out1 <= in1;
|
||||
|
||||
END ARCHITECTURE sim;
|
||||
|
7
10-PipelinedOperators/Board/hdl/inverterIn_sim.vhd
Normal file
7
10-PipelinedOperators/Board/hdl/inverterIn_sim.vhd
Normal file
@ -0,0 +1,7 @@
|
||||
ARCHITECTURE sim OF inverterIn IS
|
||||
BEGIN
|
||||
|
||||
out1 <= NOT in1;
|
||||
|
||||
END ARCHITECTURE sim;
|
||||
|
7
10-PipelinedOperators/Board/hdl/inverter_sim.vhd
Normal file
7
10-PipelinedOperators/Board/hdl/inverter_sim.vhd
Normal file
@ -0,0 +1,7 @@
|
||||
ARCHITECTURE sim OF inverter IS
|
||||
BEGIN
|
||||
|
||||
out1 <= NOT in1;
|
||||
|
||||
END ARCHITECTURE sim;
|
||||
|
Reference in New Issue
Block a user