Archived
1
0

Initial commit

This commit is contained in:
github-classroom[bot]
2024-02-23 13:01:05 +00:00
committed by GitHub
commit d212040c30
1914 changed files with 1290006 additions and 0 deletions

View File

@ -0,0 +1,14 @@
ARCHITECTURE sim OF DFF IS
BEGIN
process(clk, clr)
begin
if clr = '1' then
q <= '0';
elsif rising_edge(clk) then
q <= d;
end if;
end process;
END ARCHITECTURE sim;

View File

@ -0,0 +1,7 @@
ARCHITECTURE sim OF buff IS
BEGIN
out1 <= in1;
END ARCHITECTURE sim;

View File

@ -0,0 +1,7 @@
ARCHITECTURE sim OF inverterIn IS
BEGIN
out1 <= NOT in1;
END ARCHITECTURE sim;

View File

@ -0,0 +1,7 @@
ARCHITECTURE sim OF inverter IS
BEGIN
out1 <= NOT in1;
END ARCHITECTURE sim;