Initial commit
This commit is contained in:
commit
d212040c30
64
01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs
Normal file
64
01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs
Normal file
@ -0,0 +1,64 @@
|
||||
[LexParser.LexVHDL2008]
|
||||
[LexParser]
|
||||
[Editor]
|
||||
recentFile0=/usr/opt/HDS/hdl_libs/ieee/hdl/std_logic_1164.vhdl
|
||||
lastFilter=.vhdl
|
||||
mark.lineImage=blueball
|
||||
[Printer]
|
||||
ENSCRIPT_LIBRARY=/usr/opt/HDS/resources/enscript/share/enscript
|
||||
[ToolbarFrames]
|
||||
geom0Group1=top H
|
||||
geom0Group2=top H
|
||||
geom0Group3=top H
|
||||
state0Search=1
|
||||
Num=0
|
||||
state0VersionManagement=1
|
||||
state0Tasks=1
|
||||
state0View=1
|
||||
state0Standard=1
|
||||
state0Edit=1
|
||||
Group1=Standard Search
|
||||
Group2=Edit Bookmarks View Macros DocumentTools Windows
|
||||
Group3=VersionManagement Tasks
|
||||
state0Macros=1
|
||||
state0Bookmarks=1
|
||||
state0Windows=1
|
||||
state0DocumentTools=1
|
||||
[LexParser.LexPSL]
|
||||
[DND]
|
||||
TrackerBg=#c3c3c3
|
||||
signalAcceptDropBg=white
|
||||
signalRefuseDropBg=red
|
||||
[General]
|
||||
[Browser]
|
||||
normalTextBg=white
|
||||
normalTextFg=black
|
||||
[Replace]
|
||||
historyMax=4
|
||||
atomicReplaceAll=No
|
||||
[Console]
|
||||
[Templates]
|
||||
Visibility=No
|
||||
[SearchInFiles]
|
||||
SearchAsRegExp=0
|
||||
MatchCase=0
|
||||
LookInSubfolders=0
|
||||
historyMax=4
|
||||
[VDiff]
|
||||
[R72]
|
||||
indentType=spaces
|
||||
indentString=\#\#\#
|
||||
[TCOM]
|
||||
logTCOMActivity=No
|
||||
afterIdleHandlerTimeSlice=300
|
||||
[Menus]
|
||||
DocAndVis=
|
||||
[Help]
|
||||
default=te_guide
|
||||
[Plugins]
|
||||
userLanguages=
|
||||
[Search]
|
||||
historyMax=4
|
||||
[Geometry]
|
||||
TopWindow0=1286x981+317+1103
|
||||
FrameSupp0,0=165
|
19
01-WaveformGenerator/Prefs/hds.hdp
Normal file
19
01-WaveformGenerator/Prefs/hds.hdp
Normal file
@ -0,0 +1,19 @@
|
||||
[Concat]
|
||||
[ModelSim]
|
||||
WaveformGenerator = $SCRATCH_DIR/WaveformGenerator
|
||||
WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test
|
||||
[hdl]
|
||||
ieee = $HDS_HOME/hdl_libs/ieee/hdl
|
||||
std = $HDS_HOME/hdl_libs/std/hdl
|
||||
WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hdl
|
||||
WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hdl
|
||||
[hds]
|
||||
ieee = $HDS_HOME/hdl_libs/ieee/hds
|
||||
std = $HDS_HOME/hdl_libs/std/hds
|
||||
WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hds
|
||||
WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hds
|
||||
[library_type]
|
||||
ieee = standard
|
||||
std = standard
|
||||
[shared]
|
||||
others = $HDS_TEAM_HOME/shared.hdp
|
23
01-WaveformGenerator/Prefs/hds_team/shared.hdp
Normal file
23
01-WaveformGenerator/Prefs/hds_team/shared.hdp
Normal file
@ -0,0 +1,23 @@
|
||||
[hds_settings]
|
||||
version = 1
|
||||
project_description = The standard HDS shared project
|
||||
[hds]
|
||||
ieee = $HDS_HOME/hdl_libs/ieee/hds
|
||||
std = $HDS_HOME/hdl_libs/std/hds
|
||||
synopsys = $HDS_HOME/hdl_libs/synopsys/hds
|
||||
verilog = $HDS_HOME/hdl_libs/verilog/hds
|
||||
vital2000 = $HDS_HOME/hdl_libs/vital2000/hds
|
||||
|
||||
[hdl]
|
||||
ieee = $HDS_HOME/hdl_libs/ieee/hdl
|
||||
std = $HDS_HOME/hdl_libs/std/hdl
|
||||
synopsys = $HDS_HOME/hdl_libs/synopsys/hdl
|
||||
verilog = $HDS_HOME/hdl_libs/verilog/hdl
|
||||
vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl
|
||||
|
||||
[library_type]
|
||||
ieee = standard
|
||||
std = standard
|
||||
synopsys = standard
|
||||
verilog = standard
|
||||
vital2000 = standard
|
55
01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs
Normal file
55
01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs
Normal file
@ -0,0 +1,55 @@
|
||||
version "8.0"
|
||||
RenoirTeamPreferences [
|
||||
(BaseTeamPreferences
|
||||
version "1.1"
|
||||
verConcat 0
|
||||
ttDGProps [
|
||||
]
|
||||
fcDGProps [
|
||||
]
|
||||
smDGProps [
|
||||
]
|
||||
asmDGProps [
|
||||
]
|
||||
bdDGProps [
|
||||
]
|
||||
syDGProps [
|
||||
]
|
||||
)
|
||||
(VersionControlTeamPreferences
|
||||
version "1.1"
|
||||
VMPlugin ""
|
||||
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
|
||||
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
|
||||
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMSvnHdlRepository ""
|
||||
VMDefaultView 1
|
||||
VMCurrentDesignHierarchyOnly 0
|
||||
VMUserData 1
|
||||
VMGeneratedHDL 0
|
||||
VMVerboseMode 0
|
||||
VMAlwaysEmpty 0
|
||||
VMSetTZ 1
|
||||
VMSymbol 1
|
||||
VMCurrentDesignHierarchy 0
|
||||
VMMultipleRepositoryMode 0
|
||||
VMSnapshotViewMode 0
|
||||
backupNameClashes 1
|
||||
clearCaseMaster 0
|
||||
)
|
||||
(CustomizeTeamPreferences
|
||||
version "1.1"
|
||||
FileTypes [
|
||||
]
|
||||
)
|
||||
]
|
273
01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl
Normal file
273
01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl
Normal file
@ -0,0 +1,273 @@
|
||||
version "4.1"
|
||||
TitleBlockTemplateRegistrar (TitleBlockTemplate
|
||||
TitleBlock (Grouping
|
||||
optionalChildren [
|
||||
*1 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,70000,35000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,70000,27100,71000"
|
||||
st "
|
||||
by %user on %dd %month %year"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*2 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,66000,39000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,66000,37800,67000"
|
||||
st "
|
||||
Project:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*3 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,68000,35000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,68000,27800,69000"
|
||||
st "
|
||||
<enter diagram title here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*4 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,68000,18000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,68000,15900,69000"
|
||||
st "
|
||||
Title:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*5 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,67000,55000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,67200,44000,68200"
|
||||
st "
|
||||
<enter comments here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 4000
|
||||
visibleWidth 20000
|
||||
)
|
||||
ignorePrefs 1
|
||||
)
|
||||
*6 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "39000,66000,55000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "39200,66000,48900,67000"
|
||||
st "%project_name"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 16000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*7 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,66000,35000,68000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "32768,0,0"
|
||||
)
|
||||
xt "19950,66350,29050,67650"
|
||||
st "
|
||||
<company name>"
|
||||
ju 0
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 2000
|
||||
visibleWidth 21000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*8 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,69000,18000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,69000,15900,70000"
|
||||
st "
|
||||
Path:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*9 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,70000,18000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,70000,16500,71000"
|
||||
st "
|
||||
Edited:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*10 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,69000,35000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,69000,25400,70000"
|
||||
st "
|
||||
%library/%unit/%view"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
]
|
||||
shape (GroupingShape
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65535,65535,65535"
|
||||
lineStyle 2
|
||||
lineWidth 2
|
||||
)
|
||||
xt "14000,66000,55000,71000"
|
||||
)
|
||||
)
|
||||
)
|
55
01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs
Normal file
55
01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs
Normal file
@ -0,0 +1,55 @@
|
||||
version "8.0"
|
||||
RenoirTeamPreferences [
|
||||
(BaseTeamPreferences
|
||||
version "1.1"
|
||||
verConcat 0
|
||||
ttDGProps [
|
||||
]
|
||||
fcDGProps [
|
||||
]
|
||||
smDGProps [
|
||||
]
|
||||
asmDGProps [
|
||||
]
|
||||
bdDGProps [
|
||||
]
|
||||
syDGProps [
|
||||
]
|
||||
)
|
||||
(VersionControlTeamPreferences
|
||||
version "1.1"
|
||||
VMPlugin ""
|
||||
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
|
||||
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
|
||||
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMSvnHdlRepository ""
|
||||
VMDefaultView 1
|
||||
VMCurrentDesignHierarchyOnly 0
|
||||
VMUserData 1
|
||||
VMGeneratedHDL 0
|
||||
VMVerboseMode 0
|
||||
VMAlwaysEmpty 0
|
||||
VMSetTZ 1
|
||||
VMSymbol 1
|
||||
VMCurrentDesignHierarchy 0
|
||||
VMMultipleRepositoryMode 0
|
||||
VMSnapshotViewMode 0
|
||||
backupNameClashes 1
|
||||
clearCaseMaster 0
|
||||
)
|
||||
(CustomizeTeamPreferences
|
||||
version "1.1"
|
||||
FileTypes [
|
||||
]
|
||||
)
|
||||
]
|
273
01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl
Normal file
273
01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl
Normal file
@ -0,0 +1,273 @@
|
||||
version "4.1"
|
||||
TitleBlockTemplateRegistrar (TitleBlockTemplate
|
||||
TitleBlock (Grouping
|
||||
optionalChildren [
|
||||
*1 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,70000,35000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,70000,27100,71000"
|
||||
st "
|
||||
by %user on %dd %month %year"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*2 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,66000,39000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,66000,37800,67000"
|
||||
st "
|
||||
Project:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*3 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,68000,35000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,68000,27800,69000"
|
||||
st "
|
||||
<enter diagram title here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*4 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,68000,18000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,68000,15900,69000"
|
||||
st "
|
||||
Title:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*5 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,67000,55000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,67200,44000,68200"
|
||||
st "
|
||||
<enter comments here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 4000
|
||||
visibleWidth 20000
|
||||
)
|
||||
ignorePrefs 1
|
||||
)
|
||||
*6 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "39000,66000,55000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "39200,66000,48900,67000"
|
||||
st "%project_name"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 16000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*7 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,66000,35000,68000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "32768,0,0"
|
||||
)
|
||||
xt "19950,66350,29050,67650"
|
||||
st "
|
||||
<company name>"
|
||||
ju 0
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 2000
|
||||
visibleWidth 21000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*8 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,69000,18000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,69000,15900,70000"
|
||||
st "
|
||||
Path:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*9 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,70000,18000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,70000,16500,71000"
|
||||
st "
|
||||
Edited:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*10 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,69000,35000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,69000,25400,70000"
|
||||
st "
|
||||
%library/%unit/%view"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
]
|
||||
shape (GroupingShape
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65535,65535,65535"
|
||||
lineStyle 2
|
||||
lineWidth 2
|
||||
)
|
||||
xt "14000,66000,55000,71000"
|
||||
)
|
||||
)
|
||||
)
|
55
01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs
Normal file
55
01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs
Normal file
@ -0,0 +1,55 @@
|
||||
version "8.0"
|
||||
RenoirTeamPreferences [
|
||||
(BaseTeamPreferences
|
||||
version "1.1"
|
||||
verConcat 0
|
||||
ttDGProps [
|
||||
]
|
||||
fcDGProps [
|
||||
]
|
||||
smDGProps [
|
||||
]
|
||||
asmDGProps [
|
||||
]
|
||||
bdDGProps [
|
||||
]
|
||||
syDGProps [
|
||||
]
|
||||
)
|
||||
(VersionControlTeamPreferences
|
||||
version "1.1"
|
||||
VMPlugin ""
|
||||
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
|
||||
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
|
||||
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
|
||||
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
|
||||
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
|
||||
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
|
||||
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
|
||||
VMSvnHdlRepository ""
|
||||
VMDefaultView 1
|
||||
VMCurrentDesignHierarchyOnly 0
|
||||
VMUserData 1
|
||||
VMGeneratedHDL 0
|
||||
VMVerboseMode 0
|
||||
VMAlwaysEmpty 0
|
||||
VMSetTZ 1
|
||||
VMSymbol 1
|
||||
VMCurrentDesignHierarchy 0
|
||||
VMMultipleRepositoryMode 0
|
||||
VMSnapshotViewMode 0
|
||||
backupNameClashes 1
|
||||
clearCaseMaster 0
|
||||
)
|
||||
(CustomizeTeamPreferences
|
||||
version "1.1"
|
||||
FileTypes [
|
||||
]
|
||||
)
|
||||
]
|
273
01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl
Normal file
273
01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl
Normal file
@ -0,0 +1,273 @@
|
||||
version "4.1"
|
||||
TitleBlockTemplateRegistrar (TitleBlockTemplate
|
||||
TitleBlock (Grouping
|
||||
optionalChildren [
|
||||
*1 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,70000,35000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,70000,27100,71000"
|
||||
st "
|
||||
by %user on %dd %month %year"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*2 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,66000,39000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,66000,37800,67000"
|
||||
st "
|
||||
Project:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*3 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,68000,35000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,68000,27800,69000"
|
||||
st "
|
||||
<enter diagram title here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*4 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,68000,18000,69000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,68000,15900,69000"
|
||||
st "
|
||||
Title:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*5 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "35000,67000,55000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "35200,67200,44000,68200"
|
||||
st "
|
||||
<enter comments here>"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 4000
|
||||
visibleWidth 20000
|
||||
)
|
||||
ignorePrefs 1
|
||||
)
|
||||
*6 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "39000,66000,55000,67000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "39200,66000,48900,67000"
|
||||
st "%project_name"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 16000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*7 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,66000,35000,68000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "32768,0,0"
|
||||
)
|
||||
xt "19950,66350,29050,67650"
|
||||
st "
|
||||
<company name>"
|
||||
ju 0
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 2000
|
||||
visibleWidth 21000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*8 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,69000,18000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,69000,15900,70000"
|
||||
st "
|
||||
Path:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*9 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "14000,70000,18000,71000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "14200,70000,16500,71000"
|
||||
st "
|
||||
Edited:"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 4000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
*10 (CommentText
|
||||
shape (Rectangle
|
||||
sl 0
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65280,65280,46080"
|
||||
)
|
||||
xt "18000,69000,35000,70000"
|
||||
)
|
||||
text (MLText
|
||||
va (VaSet
|
||||
fg "0,0,32768"
|
||||
bg "0,0,32768"
|
||||
font "Arial,8,0"
|
||||
)
|
||||
xt "18200,69000,25400,70000"
|
||||
st "
|
||||
%library/%unit/%view"
|
||||
tm "CommentText"
|
||||
wrapOption 3
|
||||
visibleHeight 1000
|
||||
visibleWidth 17000
|
||||
)
|
||||
position 1
|
||||
ignorePrefs 1
|
||||
)
|
||||
]
|
||||
shape (GroupingShape
|
||||
va (VaSet
|
||||
vasetType 1
|
||||
fg "65535,65535,65535"
|
||||
lineStyle 2
|
||||
lineWidth 2
|
||||
)
|
||||
xt "14000,66000,55000,71000"
|
||||
)
|
||||
)
|
||||
)
|
6097
01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs
Normal file
6097
01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,41 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
@ -0,0 +1,98 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Runs ModelSim compilation"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimCompiler"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"64bit"
|
||||
"0"
|
||||
"compAlways"
|
||||
"0"
|
||||
"covSwitch"
|
||||
""
|
||||
"coverNoSub"
|
||||
""
|
||||
"dontAskAgain"
|
||||
"0"
|
||||
"enableMFCU"
|
||||
"1"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"logFile"
|
||||
""
|
||||
"logicalLib"
|
||||
"1"
|
||||
"mapAllLib"
|
||||
"0"
|
||||
"mapQuartusIPs"
|
||||
"1"
|
||||
"masterCov"
|
||||
"0"
|
||||
"peSe"
|
||||
"EE"
|
||||
"prevOnly"
|
||||
"0"
|
||||
"quartusSimDir"
|
||||
"$HDS_PROJECT_DIR/QuartusIPSimLibs"
|
||||
"replayScriptPath"
|
||||
""
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"server"
|
||||
""
|
||||
"showCmd"
|
||||
"0"
|
||||
"transcript"
|
||||
"1"
|
||||
"useFlatLibrary"
|
||||
"0"
|
||||
"useRemote"
|
||||
"0"
|
||||
"useShortName"
|
||||
"0"
|
||||
"vhdlSwitches"
|
||||
" -nologo"
|
||||
"vlogSwitches"
|
||||
" -nologo"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
@ -0,0 +1,83 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "ModelSim Flow"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Generate and run entire ModelSim flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
(preferedMap
|
||||
preferedEnum 0
|
||||
preferedSetting "$MODELSIM_HOME"
|
||||
)
|
||||
(preferedMap
|
||||
preferedEnum 2
|
||||
preferedSetting "ModelSim"
|
||||
)
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTaskRef
|
||||
TaskName "Generate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:Generate"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:ModelSim Compile"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
reffedTaskName "USER:ModelSim Simulate"
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,98 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the ModelSim Simulator"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"1"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimSimulator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"Arguments"
|
||||
""
|
||||
"Arguments1"
|
||||
"do controller.do"
|
||||
"Arguments2"
|
||||
"controller.do"
|
||||
"Communication"
|
||||
"1"
|
||||
"DelaySelection"
|
||||
"typ"
|
||||
"GlitchGeneration"
|
||||
"1"
|
||||
"InitCmd"
|
||||
"$SIMULATION_DIR/waveformGen.do"
|
||||
"LogFile"
|
||||
""
|
||||
"RemoteHost"
|
||||
""
|
||||
"Resolution"
|
||||
"ps"
|
||||
"SdfDelay"
|
||||
"typ"
|
||||
"SdfMultiSrcDelay"
|
||||
"latest"
|
||||
"SdfReduce"
|
||||
"0"
|
||||
"SdfWarnings"
|
||||
"1"
|
||||
"TimingChecks"
|
||||
"1"
|
||||
"UseBatch"
|
||||
"0"
|
||||
"UseCLI"
|
||||
"0"
|
||||
"UseGUI"
|
||||
"1"
|
||||
"VitalVersion"
|
||||
"95"
|
||||
"autoNames"
|
||||
"1"
|
||||
"coverage"
|
||||
"0"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"minimumSimSetting"
|
||||
"0"
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"useCustomSimDir"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
@ -0,0 +1,162 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Prepare for Synthesis"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "generates a single file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Concatenate HDL"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Appends all HDL files together"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Concatenation"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"1"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"outputFileNameRoot"
|
||||
"%(concat_file)"
|
||||
"outputVerilogFileExtension"
|
||||
"v"
|
||||
"outputVhdlFileExtension"
|
||||
"vhd"
|
||||
"place"
|
||||
"0"
|
||||
"specifyDir"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Trim libraries"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "comment out library declarations for singles file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$CONCAT_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"/usr/bin/perl"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,114 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Xilinx Project Navigator"
|
||||
bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Xilinx Flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Update Project"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Update file references in the Xilinx project .xise file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$SYNTHESIS_WORK_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"/usr/bin/perl"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Xilinx Project Navigator"
|
||||
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes Xilinx ISE Synthesis Tool"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$SYNTHESIS_WORK_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$SYNTHESIS_HOME/bin/lin64/ise"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"exePath"
|
||||
"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,13 @@
|
||||
FILE_NAMING_RULE: new_document.txt
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of Text Document files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
#
|
||||
# Created:
|
||||
# by - %(user).%(group) (%(host))
|
||||
# at - %(time) %(date)
|
||||
#
|
||||
# using Mentor Graphics HDL Designer(TM) %(version)
|
||||
#
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Architecture files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,17 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of combined VHDL Architecture and Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,19 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Configuration files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Configuration %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
CONFIGURATION %(entity_name)_config OF %(entity_name) IS
|
||||
FOR %(arch_name)
|
||||
END FOR;
|
||||
END %(entity_name)_config;
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_entity.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Entity %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
@ -0,0 +1,16 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Body files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Body %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
PACKAGE BODY %(entity_name) IS
|
||||
END %(entity_name);
|
@ -0,0 +1,18 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Header files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Header %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
PACKAGE %(entity_name) IS
|
||||
END %(entity_name);
|
6831
01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs
Normal file
6831
01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,41 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 2
|
||||
)
|
@ -0,0 +1,98 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Runs ModelSim compilation"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimCompiler"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"64bit"
|
||||
"0"
|
||||
"compAlways"
|
||||
"0"
|
||||
"covSwitch"
|
||||
""
|
||||
"coverNoSub"
|
||||
""
|
||||
"dontAskAgain"
|
||||
"0"
|
||||
"enableMFCU"
|
||||
"1"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"logFile"
|
||||
""
|
||||
"logicalLib"
|
||||
"1"
|
||||
"mapAllLib"
|
||||
"0"
|
||||
"mapQuartusIPs"
|
||||
"1"
|
||||
"masterCov"
|
||||
"0"
|
||||
"peSe"
|
||||
"EE"
|
||||
"prevOnly"
|
||||
"0"
|
||||
"quartusSimDir"
|
||||
"$HDS_PROJECT_DIR/QuartusIPSimLibs"
|
||||
"replayScriptPath"
|
||||
""
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"server"
|
||||
""
|
||||
"showCmd"
|
||||
"0"
|
||||
"transcript"
|
||||
"1"
|
||||
"useFlatLibrary"
|
||||
"0"
|
||||
"useRemote"
|
||||
"0"
|
||||
"useShortName"
|
||||
"0"
|
||||
"vhdlSwitches"
|
||||
" -nologo"
|
||||
"vlogSwitches"
|
||||
" -nologo"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
@ -0,0 +1,83 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "ModelSim Flow"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Generate and run entire ModelSim flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
(preferedMap
|
||||
preferedEnum 0
|
||||
preferedSetting "$MODELSIM_HOME"
|
||||
)
|
||||
(preferedMap
|
||||
preferedEnum 2
|
||||
preferedSetting "ModelSim"
|
||||
)
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTaskRef
|
||||
TaskName "Generate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:Generate"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:ModelSim Compile"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
reffedTaskName "USER:ModelSim Simulate"
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,96 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the ModelSim Simulator"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"1"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimSimulator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"Arguments"
|
||||
""
|
||||
"Arguments1"
|
||||
"do controller.do"
|
||||
"Arguments2"
|
||||
"controller.do"
|
||||
"Communication"
|
||||
"1"
|
||||
"DelaySelection"
|
||||
"typ"
|
||||
"GlitchGeneration"
|
||||
"1"
|
||||
"InitCmd"
|
||||
"$SIMULATION_DIR\\IND.do"
|
||||
"LogFile"
|
||||
""
|
||||
"RemoteHost"
|
||||
""
|
||||
"Resolution"
|
||||
"ps"
|
||||
"SdfDelay"
|
||||
"typ"
|
||||
"SdfMultiSrcDelay"
|
||||
"latest"
|
||||
"SdfReduce"
|
||||
"0"
|
||||
"SdfWarnings"
|
||||
"1"
|
||||
"TimingChecks"
|
||||
"1"
|
||||
"UseBatch"
|
||||
"0"
|
||||
"UseGUI"
|
||||
"1"
|
||||
"VitalVersion"
|
||||
"95"
|
||||
"autoNames"
|
||||
"1"
|
||||
"coverage"
|
||||
"0"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"minimumSimSetting"
|
||||
"0"
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"useCustomSimDir"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
@ -0,0 +1,162 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Prepare for Synthesis"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "generates a single file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Concatenate HDL"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Appends all HDL files together"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Concatenation"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"1"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"outputFileNameRoot"
|
||||
"%(concat_file)"
|
||||
"outputVerilogFileExtension"
|
||||
"v"
|
||||
"outputVhdlFileExtension"
|
||||
"vhd"
|
||||
"place"
|
||||
"0"
|
||||
"specifyDir"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Trim libraries"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "comment out library declarations for singles file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$CONCAT_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,163 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Xilinx Project Navigator"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Xilinx Flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Update.xise"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Update file references in the Xilnx project .xise file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$ISE_WORK_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Xilinx Project navigator"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the Xilinx ISE tool"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$DESIGN_NAME.xise"
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"descriptiveName"
|
||||
"FPGA Technology Setup Plugin"
|
||||
"initialDir"
|
||||
"$ISE_WORK_DIR"
|
||||
"isHierarchical"
|
||||
"0"
|
||||
"needsSave"
|
||||
"0"
|
||||
"pluginInfo"
|
||||
"FPGA Technology Setup Plug-in v2.0
|
||||
For additional information, exceptions, compatibility issues and updates, visit SupportNet."
|
||||
"pluginVersion"
|
||||
"2.0"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$ISE_HOME\\bin\\nt64\\ise.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"InputFile"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"RunFromPlugin"
|
||||
"False"
|
||||
"RunInteractiveFromPlugIn"
|
||||
"True"
|
||||
"createAsciiFile"
|
||||
"False"
|
||||
"createBinaryFile"
|
||||
"False"
|
||||
"createFiles"
|
||||
"True"
|
||||
"createScriptFile"
|
||||
"False"
|
||||
"device"
|
||||
"xc2vp7"
|
||||
"edifngcPath"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"effortLevel"
|
||||
"Standard"
|
||||
"family"
|
||||
"virtex2p"
|
||||
"familyName"
|
||||
"virtex2p"
|
||||
"netlist"
|
||||
"other"
|
||||
"netlistDefaultView"
|
||||
"True"
|
||||
"package"
|
||||
"fg456"
|
||||
"simulationModelLanguage"
|
||||
"Modelsim_VHDL"
|
||||
"speed"
|
||||
"-7"
|
||||
"synthTool"
|
||||
"Xilinx XST"
|
||||
"ucfPath"
|
||||
""
|
||||
"vendor"
|
||||
"xilinx"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,13 @@
|
||||
FILE_NAMING_RULE: new_document.txt
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of Text Document files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
#
|
||||
# Created:
|
||||
# by - %(user).%(group) (%(host))
|
||||
# at - %(time) %(date)
|
||||
#
|
||||
# using Mentor Graphics HDL Designer(TM) %(version)
|
||||
#
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Architecture files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,17 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of combined VHDL Architecture and Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,19 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Configuration files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Configuration %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
CONFIGURATION %(entity_name)_config OF %(entity_name) IS
|
||||
FOR %(arch_name)
|
||||
END FOR;
|
||||
END %(entity_name)_config;
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_entity.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Entity %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
@ -0,0 +1,16 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Body files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Body %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
PACKAGE BODY %(entity_name) IS
|
||||
END %(entity_name);
|
@ -0,0 +1,18 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Header files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Header %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
PACKAGE %(entity_name) IS
|
||||
END %(entity_name);
|
6841
01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs
Normal file
6841
01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,162 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Diamond Project Navigator"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Diamond synthesis Flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Update.ldf"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Update file references in the Diamond project .prjx file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$DIAMOND_WORK_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Diamond Project IDE"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the Lattice Diamond tool"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"descriptiveName"
|
||||
"FPGA Technology Setup Plugin"
|
||||
"initialDir"
|
||||
"$LIBERO_WORK_DIR"
|
||||
"isHierarchical"
|
||||
"0"
|
||||
"needsSave"
|
||||
"0"
|
||||
"pluginInfo"
|
||||
"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet."
|
||||
"pluginVersion"
|
||||
"2.0"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"InputFile"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"RunFromPlugin"
|
||||
"False"
|
||||
"RunInteractiveFromPlugIn"
|
||||
"True"
|
||||
"createAsciiFile"
|
||||
"False"
|
||||
"createBinaryFile"
|
||||
"False"
|
||||
"createFiles"
|
||||
"True"
|
||||
"createScriptFile"
|
||||
"False"
|
||||
"device"
|
||||
"xc2vp7"
|
||||
"edifngcPath"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"effortLevel"
|
||||
"Standard"
|
||||
"family"
|
||||
"virtex2p"
|
||||
"familyName"
|
||||
"virtex2p"
|
||||
"netlist"
|
||||
"other"
|
||||
"netlistDefaultView"
|
||||
"True"
|
||||
"package"
|
||||
"fg456"
|
||||
"simulationModelLanguage"
|
||||
"Modelsim_VHDL"
|
||||
"speed"
|
||||
"-7"
|
||||
"synthTool"
|
||||
"Xilinx XST"
|
||||
"ucfPath"
|
||||
""
|
||||
"vendor"
|
||||
"xilinx"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,41 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 2
|
||||
)
|
@ -0,0 +1,98 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Runs ModelSim compilation"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimCompiler"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"64bit"
|
||||
"0"
|
||||
"compAlways"
|
||||
"0"
|
||||
"covSwitch"
|
||||
""
|
||||
"coverNoSub"
|
||||
""
|
||||
"dontAskAgain"
|
||||
"0"
|
||||
"enableMFCU"
|
||||
"1"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"logFile"
|
||||
""
|
||||
"logicalLib"
|
||||
"1"
|
||||
"mapAllLib"
|
||||
"0"
|
||||
"mapQuartusIPs"
|
||||
"1"
|
||||
"masterCov"
|
||||
"0"
|
||||
"peSe"
|
||||
"EE"
|
||||
"prevOnly"
|
||||
"0"
|
||||
"quartusSimDir"
|
||||
"$HDS_PROJECT_DIR/QuartusIPSimLibs"
|
||||
"replayScriptPath"
|
||||
""
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"server"
|
||||
""
|
||||
"showCmd"
|
||||
"0"
|
||||
"transcript"
|
||||
"1"
|
||||
"useFlatLibrary"
|
||||
"0"
|
||||
"useRemote"
|
||||
"0"
|
||||
"useShortName"
|
||||
"0"
|
||||
"vhdlSwitches"
|
||||
" -nologo"
|
||||
"vlogSwitches"
|
||||
" -nologo"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
@ -0,0 +1,83 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "ModelSim Flow"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Generate and run entire ModelSim flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
(preferedMap
|
||||
preferedEnum 0
|
||||
preferedSetting "$MODELSIM_HOME"
|
||||
)
|
||||
(preferedMap
|
||||
preferedEnum 2
|
||||
preferedSetting "ModelSim"
|
||||
)
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 1
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTaskRef
|
||||
TaskName "Generate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:Generate"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Compile"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
reffedTaskName "USER:ModelSim Compile"
|
||||
)
|
||||
(HDSTaskRef
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap ""
|
||||
hasBitmap 1
|
||||
tooltip ""
|
||||
taskSettings [
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
reffedTaskName "USER:ModelSim Simulate"
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,98 @@
|
||||
version "1.1"
|
||||
HDSTool (HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "ModelSim Simulate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the ModelSim Simulator"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"1"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"ModelSimSimulator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"Arguments"
|
||||
""
|
||||
"Arguments1"
|
||||
"do controller.do"
|
||||
"Arguments2"
|
||||
"controller.do"
|
||||
"Communication"
|
||||
"1"
|
||||
"DelaySelection"
|
||||
"typ"
|
||||
"GlitchGeneration"
|
||||
"1"
|
||||
"InitCmd"
|
||||
"$SIMULATION_DIR/waveformGen.do"
|
||||
"LogFile"
|
||||
""
|
||||
"RemoteHost"
|
||||
""
|
||||
"Resolution"
|
||||
"ps"
|
||||
"SdfDelay"
|
||||
"typ"
|
||||
"SdfMultiSrcDelay"
|
||||
"latest"
|
||||
"SdfReduce"
|
||||
"0"
|
||||
"SdfWarnings"
|
||||
"1"
|
||||
"TimingChecks"
|
||||
"1"
|
||||
"UseBatch"
|
||||
"0"
|
||||
"UseCLI"
|
||||
"0"
|
||||
"UseGUI"
|
||||
"1"
|
||||
"VitalVersion"
|
||||
"95"
|
||||
"autoNames"
|
||||
"1"
|
||||
"coverage"
|
||||
"0"
|
||||
"excludePSL"
|
||||
"0"
|
||||
"exepath"
|
||||
"$MODELSIM_HOME"
|
||||
"minimumSimSetting"
|
||||
"0"
|
||||
"saveReplayScript"
|
||||
"0"
|
||||
"useCustomSimDir"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 1
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
@ -0,0 +1,162 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Prepare for Synthesis"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "generates a single file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 1
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Generate"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Performs generation of graphics files"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Generator"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Concatenate HDL"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Appends all HDL files together"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
""
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
""
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"Concatenation"
|
||||
"runnableObjectType"
|
||||
"tcl_plugin"
|
||||
"useViewSpecific"
|
||||
"1"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"outputFileNameRoot"
|
||||
"%(concat_file)"
|
||||
"outputVerilogFileExtension"
|
||||
"v"
|
||||
"outputVhdlFileExtension"
|
||||
"vhd"
|
||||
"place"
|
||||
"0"
|
||||
"specifyDir"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Trim libraries"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "comment out library declarations for singles file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$CONCAT_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,163 @@
|
||||
version "1.1"
|
||||
HDSFlow (HDSFlow
|
||||
TaskName "Xilinx Project Navigator"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Xilinx Flow"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"flowSettingsDlg"
|
||||
""
|
||||
"taskInvocationScript"
|
||||
""
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 1
|
||||
subTasks [
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Update.xise"
|
||||
bitmap "tool_default_tool.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Update file references in the Xilnx project .xise file"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf"
|
||||
"captureOutput"
|
||||
"1"
|
||||
"customPrompt"
|
||||
""
|
||||
"initialDir"
|
||||
"$ISE_WORK_DIR"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$HDS_HOME\\resources\\perl\\bin\\perl.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
(HDSTool
|
||||
hasAssociatedFileExt 0
|
||||
associatedFileExt ""
|
||||
TaskName "Xilinx Project navigator"
|
||||
bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp"
|
||||
hasBitmap 1
|
||||
tooltip "Invokes the Xilinx ISE tool"
|
||||
taskSettings [
|
||||
"InternalTaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"additionalToolArgs"
|
||||
"$DESIGN_NAME.xise"
|
||||
"captureOutput"
|
||||
"0"
|
||||
"customPrompt"
|
||||
""
|
||||
"descriptiveName"
|
||||
"FPGA Technology Setup Plugin"
|
||||
"initialDir"
|
||||
"$ISE_WORK_DIR"
|
||||
"isHierarchical"
|
||||
"0"
|
||||
"needsSave"
|
||||
"0"
|
||||
"pluginInfo"
|
||||
"FPGA Technology Setup Plug-in v2.0
|
||||
For additional information, exceptions, compatibility issues and updates, visit SupportNet."
|
||||
"pluginVersion"
|
||||
"2.0"
|
||||
"promptForRunSettings"
|
||||
"0"
|
||||
"runMethod"
|
||||
"gui"
|
||||
"runnableObject"
|
||||
"$ISE_HOME\\bin\\nt64\\ise.exe"
|
||||
"runnableObjectType"
|
||||
"executable"
|
||||
"useViewSpecific"
|
||||
"0"
|
||||
]
|
||||
)
|
||||
"TaskSetting"
|
||||
(SettingsMap
|
||||
settingsMap [
|
||||
"InputFile"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"RunFromPlugin"
|
||||
"False"
|
||||
"RunInteractiveFromPlugIn"
|
||||
"True"
|
||||
"createAsciiFile"
|
||||
"False"
|
||||
"createBinaryFile"
|
||||
"False"
|
||||
"createFiles"
|
||||
"True"
|
||||
"createScriptFile"
|
||||
"False"
|
||||
"device"
|
||||
"xc2vp7"
|
||||
"edifngcPath"
|
||||
"U:/ELN_board/Board/concat/cursor.vhd"
|
||||
"effortLevel"
|
||||
"Standard"
|
||||
"family"
|
||||
"virtex2p"
|
||||
"familyName"
|
||||
"virtex2p"
|
||||
"netlist"
|
||||
"other"
|
||||
"netlistDefaultView"
|
||||
"True"
|
||||
"package"
|
||||
"fg456"
|
||||
"simulationModelLanguage"
|
||||
"Modelsim_VHDL"
|
||||
"speed"
|
||||
"-7"
|
||||
"synthTool"
|
||||
"Xilinx XST"
|
||||
"ucfPath"
|
||||
""
|
||||
"vendor"
|
||||
"xilinx"
|
||||
]
|
||||
)
|
||||
]
|
||||
PreferedTasks [
|
||||
]
|
||||
onShortcutBar 0
|
||||
onPulldownMenu 0
|
||||
onToolbar 0
|
||||
enabled 1
|
||||
hierDepth 3
|
||||
)
|
||||
]
|
||||
)
|
@ -0,0 +1,13 @@
|
||||
FILE_NAMING_RULE: new_document.txt
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of Text Document files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
#
|
||||
# Created:
|
||||
# by - %(user).%(group) (%(host))
|
||||
# at - %(time) %(date)
|
||||
#
|
||||
# using Mentor Graphics HDL Designer(TM) %(version)
|
||||
#
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Architecture files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,17 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of combined VHDL Architecture and Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Architecture %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
||||
--
|
||||
%(architecture)
|
@ -0,0 +1,19 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Configuration files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Configuration %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
CONFIGURATION %(entity_name)_config OF %(entity_name) IS
|
||||
FOR %(arch_name)
|
||||
END FOR;
|
||||
END %(entity_name)_config;
|
||||
|
@ -0,0 +1,15 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_entity.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Entity files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Entity %(library).%(unit).%(view)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
%(entity)
|
@ -0,0 +1,16 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Body files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Body %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
PACKAGE BODY %(entity_name) IS
|
||||
END %(entity_name);
|
@ -0,0 +1,18 @@
|
||||
FILE_NAMING_RULE: %(entity_name)_pkg.vhd
|
||||
DESCRIPTION_START
|
||||
This is the default template used for the creation of VHDL Package Header files.
|
||||
Template supplied by Mentor Graphics.
|
||||
DESCRIPTION_END
|
||||
--
|
||||
-- VHDL Package Header %(library).%(unit)
|
||||
--
|
||||
-- Created:
|
||||
-- by - %(user).%(group) (%(host))
|
||||
-- at - %(time) %(date)
|
||||
--
|
||||
-- using Mentor Graphics HDL Designer(TM) %(version)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
PACKAGE %(entity_name) IS
|
||||
END %(entity_name);
|
38
01-WaveformGenerator/Scripts/cleanGenerated.bash
Executable file
38
01-WaveformGenerator/Scripts/cleanGenerated.bash
Executable file
@ -0,0 +1,38 @@
|
||||
#!/bin/bash
|
||||
|
||||
#================================================================================
|
||||
# cleanGenerated.bash - Clean intermediate files form folder
|
||||
#
|
||||
base_directory="$(dirname "$(readlink -f "$0")")"
|
||||
pushd $base_directory
|
||||
base_directory="$base_directory/.."
|
||||
|
||||
SEPARATOR='--------------------------------------------------------------------------------'
|
||||
INDENT=' '
|
||||
|
||||
echo "$SEPARATOR"
|
||||
echo "-- ${0##*/} Started!"
|
||||
echo ""
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Remove generated and cache files
|
||||
#
|
||||
find $base_directory -type f -name '.cache.dat' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*.bak' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*.lck' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v
|
||||
find $base_directory -type f -name 'default_view' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*.vhg' | xargs -r rm -v
|
||||
find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v
|
||||
find $base_directory -type d -name '.xrf' | xargs -r rm -Rv
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Exit
|
||||
#
|
||||
echo ""
|
||||
echo "-- ${0##*/} Finished!"
|
||||
echo "$SEPARATOR"
|
||||
popd
|
42
01-WaveformGenerator/Scripts/cleanGenerated.bat
Normal file
42
01-WaveformGenerator/Scripts/cleanGenerated.bat
Normal file
@ -0,0 +1,42 @@
|
||||
::==============================================================================
|
||||
:: cleanGenerated.bat
|
||||
:: Clean intermediate files from folder
|
||||
::
|
||||
:start
|
||||
@echo off
|
||||
setlocal
|
||||
set cmd_location="%~dp0"
|
||||
pushd %cmd_location%
|
||||
set SEPARATOR="--------------------------------------------------------------------------------"
|
||||
set INDENT=" "
|
||||
|
||||
echo %SEPARATOR%
|
||||
echo "-- %~nx0 Started!"
|
||||
echo.
|
||||
::------------------------------------------------------------------------------
|
||||
:: Delete intermediate files
|
||||
::
|
||||
set base_directory="%cmd_location:"=%.."
|
||||
|
||||
echo "Delete intermediate files in: %base_directory%"
|
||||
del /f /s /a %base_directory%\*cache.dat
|
||||
del /f /s /a %base_directory%\*.bak
|
||||
del /f /s /a %base_directory%\*.lck
|
||||
del /f /s /a %base_directory%\*.vhd.info
|
||||
del /f /s /a %base_directory%\default_view
|
||||
del /f /s /a %base_directory%\*_entity.vhd
|
||||
del /f /s /a %base_directory%\*_struct.vhd
|
||||
del /f /s /a %base_directory%\*_fsm.vhd
|
||||
del /f /s /a %base_directory%\*.vhg
|
||||
del /f /s /a %base_directory%\*.DS_Store
|
||||
|
||||
echo "Delete intermediate directories in: %base_directory%"
|
||||
for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a"
|
||||
|
||||
:end
|
||||
echo.
|
||||
echo "-- %~nx0 Finished!"
|
||||
echo %SEPARATOR%
|
||||
popd
|
||||
endlocal
|
||||
goto:eof
|
39
01-WaveformGenerator/Scripts/cleanScratch.bat
Normal file
39
01-WaveformGenerator/Scripts/cleanScratch.bat
Normal file
@ -0,0 +1,39 @@
|
||||
::==============================================================================
|
||||
:: cleanScratch.bat
|
||||
:: Clean scratch directory
|
||||
::
|
||||
:start
|
||||
@echo off
|
||||
setlocal
|
||||
set cmd_location="%~dp0"
|
||||
pushd %cmd_location%
|
||||
set SEPARATOR=--------------------------------------------------------------------------------
|
||||
set INDENT=" "
|
||||
|
||||
echo %SEPARATOR%
|
||||
echo -- %~nx0 Started!
|
||||
echo.
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Delete scratch directory
|
||||
::
|
||||
if "%SCRATCH_DIR%" == "" (
|
||||
set SCRATCH_DIR=C:\temp\eda\
|
||||
)
|
||||
|
||||
echo Delete scratch directory %SCRATCH_DIR%
|
||||
if exist %SCRATCH_DIR% (
|
||||
echo Scratch directory found, deleting!
|
||||
rmdir /S /Q "%SCRATCH_DIR%"
|
||||
) else (
|
||||
echo Scratch directory not found!
|
||||
)
|
||||
|
||||
:end
|
||||
echo.
|
||||
echo -- %~nx0 Finished!
|
||||
echo %SEPARATOR%
|
||||
echo. && echo.
|
||||
popd
|
||||
endlocal
|
||||
goto:eof
|
42
01-WaveformGenerator/Scripts/generateSSHKey.bash
Normal file
42
01-WaveformGenerator/Scripts/generateSSHKey.bash
Normal file
@ -0,0 +1,42 @@
|
||||
#!/bin/bash
|
||||
|
||||
#==============================================================================
|
||||
# generates an SSH key under default user location ~/.ssh/
|
||||
# and add the public key to the clipboard
|
||||
#
|
||||
# the email could be given when calling the script or be prompted later
|
||||
|
||||
email=''
|
||||
filename=$(hostname)
|
||||
user=$(whoami)
|
||||
|
||||
usage='Usage: generateSSH.bash [-e email]'
|
||||
|
||||
# handle options
|
||||
while getopts 'e:v' flag; do
|
||||
case "${flag}" in
|
||||
e) email=${OPTARG};;
|
||||
esac
|
||||
done
|
||||
|
||||
# if the mail is not given, prompt it
|
||||
if [ -z "$email" ]
|
||||
then
|
||||
echo -n "Please enter your mail: "
|
||||
read email
|
||||
fi
|
||||
# generates the key-couple
|
||||
# cat /dev/zero create an empty file to be filled by the key
|
||||
# then ssh-keygen is called with:
|
||||
# -q to call it silently (no verbose)
|
||||
# -N with empty string to set no-password
|
||||
# -t to specify the type of key
|
||||
# -C to set the user mail
|
||||
cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename
|
||||
|
||||
# then copy the public key into the clipboard
|
||||
clip < ~/.ssh/${filename}.pub
|
||||
|
||||
# print output for user
|
||||
echo -e "\nThe keyfiles are generated under ~/.ssh/"
|
||||
echo -e "\nThe public key is in your clipboard, ready to be added to your Github account."
|
154
01-WaveformGenerator/Scripts/hdlDesigner.bash
Executable file
154
01-WaveformGenerator/Scripts/hdlDesigner.bash
Executable file
@ -0,0 +1,154 @@
|
||||
#!/bin/bash
|
||||
|
||||
#================================================================================
|
||||
# hdl_designer.bash - Starts HDL designer
|
||||
#
|
||||
base_directory="$(dirname "$(readlink -f "$0")")"
|
||||
base_directory="$base_directory/.."
|
||||
pushd $base_directory
|
||||
|
||||
SEPARATOR='--------------------------------------------------------------------------------'
|
||||
INDENT=' '
|
||||
|
||||
echo "$SEPARATOR"
|
||||
echo "-- ${0##*/} Started!"
|
||||
echo ""
|
||||
|
||||
#--------------------------------------------------------------------------------
|
||||
# Parse command line options
|
||||
#
|
||||
command_switches='n:d:p:m:i:u:t:s:c:y:vh'
|
||||
usage='Usage: hdl_designer.bash [-v] [-h]'
|
||||
usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]"
|
||||
# set name and base directory
|
||||
design_name=`basename $0 .bash`
|
||||
design_directory=`dirname ${BASH_SOURCE[0]}`
|
||||
|
||||
while getopts $command_switches options; do
|
||||
case $options in
|
||||
n ) design_name=$OPTARG;;
|
||||
d ) design_directory=$OPTARG;;
|
||||
esac
|
||||
done
|
||||
# continue with preferences directory
|
||||
prefs_directory="$design_directory/Prefs"
|
||||
|
||||
OPTIND=1
|
||||
while getopts $command_switches options; do
|
||||
case $options in
|
||||
n ) design_name=$OPTARG;;
|
||||
d ) design_directory=$OPTARG;;
|
||||
p ) prefs_directory=$OPTARG;;
|
||||
esac
|
||||
done
|
||||
# finish with other parameters
|
||||
library_matchings="$design_name.hdp"
|
||||
library_matchings='hds.hdp'
|
||||
simulation_directory="$design_directory/Simulation"
|
||||
user_prefs_directory="$prefs_directory/hds_user-linux"
|
||||
team_prefs_directory="$prefs_directory/hds_team"
|
||||
scratch_directory='/tmp/eda/'
|
||||
synthesis_subdirectory="Board/ise"
|
||||
concat_directory="$design_directory/Board/concat"
|
||||
|
||||
OPTIND=1
|
||||
while getopts $command_switches options; do
|
||||
case $options in
|
||||
n ) ;;
|
||||
d ) ;;
|
||||
m ) library_matchings=$OPTARG;;
|
||||
i ) simulation_directory=$OPTARG;;
|
||||
u ) user_prefs_directory=$OPTARG;;
|
||||
t ) team_prefs_directory=$OPTARG;;
|
||||
s ) scratch_directory=$OPTARG;;
|
||||
c ) concat_directory=$OPTARG;;
|
||||
y ) synthesis_subdirectory=$OPTARG;;
|
||||
v ) verbose=1;;
|
||||
h ) echo -e $usage
|
||||
exit 1;;
|
||||
* ) echo -e $usage
|
||||
exit 1;;
|
||||
esac
|
||||
done
|
||||
|
||||
design_directory=`realpath $design_directory`
|
||||
library_matchings=`realpath $prefs_directory/$library_matchings`
|
||||
simulation_directory=`realpath $simulation_directory`
|
||||
user_prefs_directory=`realpath $user_prefs_directory`
|
||||
team_prefs_directory=`realpath $team_prefs_directory`
|
||||
concat_directory=`realpath $concat_directory`
|
||||
mkdir -p $scratch_directory
|
||||
scratch_directory=`realpath $scratch_directory`
|
||||
|
||||
#================================================================================
|
||||
# Main script
|
||||
#
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# System environment variables
|
||||
#
|
||||
export HDS_HOME=/usr/opt/HDS
|
||||
export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/
|
||||
export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE
|
||||
export LC_ALL=C
|
||||
export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin
|
||||
export MGLS_HOME=/usr/opt/HDS/license/mgls
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Project environment variables
|
||||
#
|
||||
export DESIGN_NAME=$design_name
|
||||
export HDS_LIBS=$library_matchings
|
||||
export HDS_USER_HOME="$user_prefs_directory"
|
||||
export HDS_TEAM_HOME=$team_prefs_directory
|
||||
export SIMULATION_DIR=$simulation_directory
|
||||
export SCRATCH_DIR=$scratch_directory
|
||||
export CONCAT_DIR=$concat_directory
|
||||
export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory`
|
||||
export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Display info
|
||||
#
|
||||
if [ -n "$verbose" ] ; then
|
||||
echo "Environment variables:"
|
||||
echo "${INDENT}Design name is $DESIGN_NAME"
|
||||
echo "${INDENT}Lib matchings file is $HDS_LIBS"
|
||||
echo "${INDENT}Simulation directory is $SIMULATION_DIR"
|
||||
echo "${INDENT}User prefs directory is $HDS_USER_HOME"
|
||||
echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME"
|
||||
echo "${INDENT}Scratch directory is $SCRATCH_DIR"
|
||||
echo "${INDENT}Concat directory is $CONCAT_DIR"
|
||||
echo "${INDENT}HDS location is $HDS_HOME"
|
||||
echo "${INDENT}Modelsim location is $MODELSIM_HOME"
|
||||
echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME"
|
||||
echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR"
|
||||
echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR"
|
||||
fi
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Copy synthesis data to scratch
|
||||
#
|
||||
if true; then
|
||||
echo "Copying"
|
||||
echo "${INDENT}$SYNTHESIS_BASE_DIR"
|
||||
echo "${INDENT}-> $SYNTHESIS_WORK_DIR"
|
||||
fi
|
||||
if [ -e "$SYNTHESIS_BASE_DIR" ]; then
|
||||
rm -Rf $SYNTHESIS_WORK_DIR
|
||||
mkdir -p $SYNTHESIS_WORK_DIR
|
||||
cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/
|
||||
fi
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Launch application
|
||||
#
|
||||
hdl_designer &
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# Exit
|
||||
#
|
||||
echo ""
|
||||
echo "-- ${0##*/} Finished!"
|
||||
echo "$SEPARATOR"
|
||||
popd
|
301
01-WaveformGenerator/Scripts/hdlDesigner.bat
Normal file
301
01-WaveformGenerator/Scripts/hdlDesigner.bat
Normal file
@ -0,0 +1,301 @@
|
||||
::==============================================================================
|
||||
:: hdl_designer.bash - Starts HDL designer
|
||||
::
|
||||
:start
|
||||
setlocal EnableExtensions EnableDelayedExpansion
|
||||
set cmd_location=%~dp0
|
||||
pushd %cmd_location%
|
||||
set SEPARATOR=--------------------------------------------------------------------------------
|
||||
set INDENT=" "
|
||||
|
||||
echo %SEPARATOR%
|
||||
echo -- %~nx0 Started!
|
||||
echo.
|
||||
|
||||
:: -----------------------------------------------------------------------------
|
||||
:: Define default environment variables
|
||||
IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0"
|
||||
IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0"
|
||||
IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0"
|
||||
IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0"
|
||||
IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0"
|
||||
IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0"
|
||||
IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0"
|
||||
::
|
||||
::remove trailing backslash
|
||||
if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1%
|
||||
|
||||
set design_name=%~n0
|
||||
set hpd_name=hds
|
||||
|
||||
if "%ISE_VERSION%"== "" (
|
||||
set ISE_VERSION=14.7
|
||||
)
|
||||
set prefs_directory="%design_directory:"=%\Prefs"
|
||||
set library_matchings="%hpd_name%.hdp"
|
||||
set simulation_directory="%design_directory:"=%\Simulation"
|
||||
set user_prefs_directory="%prefs_directory:"=%\hds_user"
|
||||
set team_prefs_directory="%prefs_directory:"=%\hds_team"
|
||||
if "%SCRATCH_DIR%" == "" (
|
||||
set scratch_directory=C:\temp\eda\%username%
|
||||
) else (
|
||||
set scratch_directory=%SCRATCH_DIR%
|
||||
)
|
||||
|
||||
set synthesis_subdirectory=""
|
||||
if %REQUIRE_ISE% == 1 (
|
||||
set synthesis_subdirectory="Board\ise"
|
||||
)
|
||||
if %REQUIRE_LIBERO% == 1 (
|
||||
set synthesis_subdirectory="Board\libero"
|
||||
)
|
||||
if %REQUIRE_DIAMOND% == 1 (
|
||||
set synthesis_subdirectory="Board\diamond"
|
||||
)
|
||||
if %REQUIRE_ICECUBE2% == 1 (
|
||||
set synthesis_subdirectory="Board\icecube2"
|
||||
)
|
||||
set concat_directory="%design_directory:"=%\Board\concat"
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Parse command line options
|
||||
::
|
||||
:parse
|
||||
set usage1="Usage: hdl_designer.bat [-v] [-h]"
|
||||
set usage2=" [-n designName] [-d designDirectory]"
|
||||
set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]"
|
||||
set usage4=" [-s scratchDirectory] [-c concatDirectory]"
|
||||
set usage5=" [-y synthesisDirectory] [-m library_matchings]"
|
||||
|
||||
echo Search Commandline Parameters
|
||||
:parseloop
|
||||
if not "%1"=="" (
|
||||
if "%1"=="-v" (
|
||||
set VERBOSE=1
|
||||
echo %INDENT:"=%verbose enabled
|
||||
shift
|
||||
)
|
||||
if "%1"=="-h" (
|
||||
goto :HELP
|
||||
shift
|
||||
)
|
||||
if "%1"=="-n" (
|
||||
set design_name=%2
|
||||
echo %INDENT:"=%design_name=!design_name:"=!
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-d" (
|
||||
set design_directory=%2
|
||||
echo %INDENT:"=%design_directory=!design_directory:"=!
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-p" (
|
||||
set prefs_directory=%2
|
||||
echo %INDENT:"=%prefs_directory=!prefs_directory:"=!
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-u" (
|
||||
set user_prefs_directory=%2
|
||||
echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=%
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-t" (
|
||||
set team_prefs_directory=%2
|
||||
echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=%
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-s" (
|
||||
set scratch_directory=%2
|
||||
echo %INDENT:"=%scratch_directory=%scratch_directory:"=%
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-c" (
|
||||
set concat_directory=%2
|
||||
echo "%INDENT:"=%concat_directory=%concat_directory:"=% "
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-y" (
|
||||
set synthesis_subdirectory=%2
|
||||
echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=%
|
||||
shift & shift
|
||||
)
|
||||
if "%1"=="-m" (
|
||||
set set library_matchings="%2.hdp"
|
||||
echo %INDENT:"=%library_matchings=%library_matchings:"=%
|
||||
shift & shift
|
||||
)
|
||||
goto :parseloop
|
||||
)
|
||||
echo.
|
||||
|
||||
:: Setting all concurrent variables
|
||||
set prefs_directory="!design_directory:"=!\Prefs"
|
||||
set user_prefs_directory="!prefs_directory:"=!\hds_user"
|
||||
set team_prefs_directory="!prefs_directory:"=!\hds_team"
|
||||
set library_matchings=!prefs_directory:"=!\!library_matchings:"=!
|
||||
set simulation_directory="!design_directory:"=!\Simulation"
|
||||
set concat_directory="!design_directory:"=!\Board\concat"
|
||||
|
||||
:: Getting realpath
|
||||
:: TODO
|
||||
|
||||
:: create scratch dir
|
||||
if not exist "%scratch_directory%" (
|
||||
mkdir "%scratch_directory:"=%"
|
||||
)
|
||||
|
||||
::================================================================================
|
||||
:: Main script
|
||||
::
|
||||
echo.
|
||||
call "./searchPaths.bat"
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Project environment variables
|
||||
::
|
||||
set DESIGN_NAME=%design_name:"=%
|
||||
set HDS_LIBS=%library_matchings:"=%
|
||||
set HDS_USER_HOME=%user_prefs_directory:"=%
|
||||
set HDS_TEAM_HOME=%team_prefs_directory:"=%
|
||||
set SIMULATION_DIR=%simulation_directory:"=%
|
||||
:: Changing Slashed (Needed for Modelsim)
|
||||
set SIMULATION_DIR=%SIMULATION_DIR:\=/%
|
||||
set SCRATCH_DIR=%scratch_directory:"=%
|
||||
set CONCAT_DIR=%concat_directory:"=%
|
||||
set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=%
|
||||
set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=%
|
||||
set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=%
|
||||
set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=%
|
||||
set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=%
|
||||
set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=%
|
||||
set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=%
|
||||
set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=%
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Display info
|
||||
::
|
||||
if !VERBOSE! == 1 (
|
||||
echo Program Parameters
|
||||
echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=%
|
||||
echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=%
|
||||
echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=%
|
||||
echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=%
|
||||
echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=%
|
||||
echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=%
|
||||
echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=%
|
||||
echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=%
|
||||
if %REQUIRE_HDS% == 1 (
|
||||
echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=%
|
||||
)
|
||||
if %REQUIRE_MODELSIM% == 1 (
|
||||
echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=%
|
||||
)
|
||||
if %REQUIRE_ISE% == 1 (
|
||||
echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=%
|
||||
echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=%
|
||||
echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=%
|
||||
)
|
||||
if %REQUIRE_LIBERO% == 1 (
|
||||
echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=%
|
||||
echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=%
|
||||
echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=%
|
||||
)
|
||||
if %REQUIRE_DIAMOND% == 1 (
|
||||
echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=%
|
||||
echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=%
|
||||
echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=%
|
||||
)
|
||||
if %REQUIRE_ICECUBE2% == 1 (
|
||||
echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=%
|
||||
echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=%
|
||||
echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=%
|
||||
)
|
||||
echo.
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Delete scratch directory
|
||||
::
|
||||
echo.
|
||||
call "./cleanScratch.bat"
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Copy synthesis data to scratch directory
|
||||
::
|
||||
if %REQUIRE_ISE% == 1 (
|
||||
if exist %ISE_BASE_DIR% (
|
||||
echo %ISE_BASE_DIR:"=%
|
||||
echo -> %ISE_WORK_DIR:"=%
|
||||
if exist %ISE_WORK_DIR% (
|
||||
rmdir /S /Q "%ISE_WORK_DIR%"
|
||||
)
|
||||
mkdir "%ISE_WORK_DIR%"
|
||||
xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\"
|
||||
)
|
||||
)
|
||||
|
||||
if %REQUIRE_LIBERO% == 1 (
|
||||
if exist %LIBERO_BASE_DIR% (
|
||||
echo %LIBERO_BASE_DIR:"=%
|
||||
echo -> %LIBERO_BASE_DIR:"=%
|
||||
if exist %LIBERO_WORK_DIR% (
|
||||
rmdir /S /Q "%LIBERO_WORK_DIR%"
|
||||
)
|
||||
mkdir "%LIBERO_WORK_DIR%"
|
||||
xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\"
|
||||
)
|
||||
)
|
||||
|
||||
if %REQUIRE_DIAMOND% == 1 (
|
||||
if exist %DIAMOND_BASE_DIR% (
|
||||
echo %DIAMOND_BASE_DIR:"=%
|
||||
echo -> %DIAMOND_BASE_DIR:"=%
|
||||
if exist %DIAMOND_WORK_DIR% (
|
||||
rmdir /S /Q "%DIAMOND_WORK_DIR%"
|
||||
)
|
||||
mkdir "%DIAMOND_WORK_DIR%"
|
||||
xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\"
|
||||
)
|
||||
)
|
||||
|
||||
if %REQUIRE_ICECUBE2% == 1 (
|
||||
if exist %ICECUBE2_BASE_DIR% (
|
||||
echo %ICECUBE2_BASE_DIR:"=%
|
||||
echo -> %ICECUBE2_BASE_DIR:"=%
|
||||
if exist %ICECUBE2_WORK_DIR% (
|
||||
rmdir /S /Q "%ICECUBE2_WORK_DIR%"
|
||||
)
|
||||
mkdir "%ICECUBE2_WORK_DIR%"
|
||||
xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\"
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Launch application
|
||||
::
|
||||
echo -- Launching program
|
||||
::echo %INDENT:"=%Waiting until programs finished...
|
||||
::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe
|
||||
%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe
|
||||
::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW!
|
||||
|
||||
:end
|
||||
echo.
|
||||
echo -- %~nx0 Finished!
|
||||
echo %SEPARATOR%
|
||||
echo. && echo.
|
||||
popd
|
||||
endlocal
|
||||
goto:eof
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Helper Functions
|
||||
::
|
||||
:HELP
|
||||
echo.
|
||||
echo %usage1:"=%
|
||||
echo %usage2:"=%
|
||||
echo %usage3:"=%
|
||||
echo %usage4:"=%
|
||||
echo %usage5:"=%
|
||||
echo.&pause&goto:eof
|
209
01-WaveformGenerator/Scripts/searchPaths.bat
Normal file
209
01-WaveformGenerator/Scripts/searchPaths.bat
Normal file
@ -0,0 +1,209 @@
|
||||
::==============================================================================
|
||||
:: search_paths.bat - Search for Libraries and HDL Tools
|
||||
::
|
||||
|
||||
:start
|
||||
@echo off
|
||||
set cmd_location="%~dp0"
|
||||
pushd %cmd_location%
|
||||
set SEPARATOR=--------------------------------------------------------------------------------
|
||||
set INDENT=" "
|
||||
|
||||
echo %SEPARATOR%
|
||||
echo -- %~nx0 Started!
|
||||
echo.
|
||||
|
||||
echo Search HEI Library
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search HEI library directory
|
||||
::
|
||||
if !REQUIRE_LIBS! == 1 (
|
||||
if "%HEI_LIBS_DIR%" == "" (
|
||||
set HEI_LIBS_DIR=%design_directory:"=!%\Libs
|
||||
if not exist !HEI_LIBS_DIR!\ (
|
||||
:: check at folder one level above project folder, as used on svn for boards libraries
|
||||
set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs
|
||||
if not exist !HEI_LIBS_DIR!\ (
|
||||
:: check at folder one level above project folder, as used on svn for boards libraries
|
||||
set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs
|
||||
if not exist !HEI_LIBS_DIR!\ (
|
||||
:: check on server, as used for ET labs
|
||||
set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries
|
||||
if not exist !HEI_LIBS_DIR!\ (
|
||||
:: check on server, as used for SI labs
|
||||
set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries
|
||||
if not exist !HEI_LIBS_DIR!\ (
|
||||
echo "ERROR: No valid libraries found: please verify your project setup."
|
||||
pause&goto:end
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !HEI_LIBS_DIR!\ (
|
||||
echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
|
||||
echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search HDL Designer directory
|
||||
::
|
||||
if !REQUIRE_HDS! == 1 (
|
||||
if "%HDS_HOME%" == "" (
|
||||
set HDS_HOME=C:\eda\MentorGraphics\HDS
|
||||
if not exist !HDS_HOME!\ (
|
||||
set HDS_HOME=C:\tools\eda\HDS
|
||||
if not exist !HDS_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !HDS_HOME! (
|
||||
echo %INDENT:"=%Found HDL-Designer at !HDS_HOME!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search Modelsim directory
|
||||
::
|
||||
if !REQUIRE_MODELSIM! == 1 (
|
||||
if "%MODELSIM_HOME%" == "" (
|
||||
set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64
|
||||
if not exist !MODELSIM_HOME!\ (
|
||||
set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32
|
||||
if not exist !MODELSIM_HOME!\ (
|
||||
set MODELSIM_HOME=C:\tools\eda\Modelsim\win64
|
||||
if not exist !MODELSIM_HOME!\ (
|
||||
set MODELSIM_HOME=C:\tools\eda\Modelsim\win32
|
||||
if not exist !MODELSIM_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !MODELSIM_HOME! (
|
||||
echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings.
|
||||
pause
|
||||
goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search Xilinx ISE directory
|
||||
::
|
||||
|
||||
if !REQUIRE_ISE! == 1 (
|
||||
if "%ISE_HOME%" == "" (
|
||||
set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE
|
||||
if not exist !ISE_HOME!\ (
|
||||
set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE
|
||||
if not exist !ISE_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !ISE_HOME! (
|
||||
echo %INDENT:"=%Found ISE at !ISE_HOME:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search Microsemi Libero directory
|
||||
::
|
||||
if %REQUIRE_LIBERO% == 1 (
|
||||
if "%LIBERO_HOME%" == "" (
|
||||
set LIBERO_HOME=C:\eda\Microsemi\Libero
|
||||
if not exist !LIBERO_HOME!\ (
|
||||
set LIBERO_HOME=C:\tools\eda\Microsemi\Libero
|
||||
if not exist !LIBERO_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !LIBERO_HOME! (
|
||||
echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings.
|
||||
pause
|
||||
goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search Lattice Diamond directory
|
||||
::
|
||||
if %REQUIRE_DIAMOND% == 1 (
|
||||
if "%DIAMOND_HOME%" == "" (
|
||||
set DIAMOND_HOME=C:\eda\lscc\diamond\3.12
|
||||
if not exist !DIAMOND_HOME!\ (
|
||||
set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12
|
||||
if not exist !DIAMOND_HOME!\ (
|
||||
set DIAMOND_HOME=C:\lscc\diamond\3.12
|
||||
if not exist !DIAMOND_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !DIAMOND_HOME! (
|
||||
echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings.
|
||||
pause
|
||||
goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
::------------------------------------------------------------------------------
|
||||
:: Search Lattice IceCube2 directory
|
||||
::
|
||||
if %REQUIRE_ICECUBE2% == 1 (
|
||||
if "%ICECUBE2_HOME%" == "" (
|
||||
set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12
|
||||
if not exist !ICECUBE2_HOME!\ (
|
||||
set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12
|
||||
if not exist !ICECUBE2_HOME!\ (
|
||||
set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12
|
||||
if not exist !ICECUBE2_HOME!\ (
|
||||
echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings.
|
||||
pause&goto:eof
|
||||
)
|
||||
)
|
||||
)
|
||||
)
|
||||
if exist !ICECUBE2_HOME! (
|
||||
echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=!
|
||||
) else (
|
||||
echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings.
|
||||
pause
|
||||
goto:eof
|
||||
)
|
||||
)
|
||||
|
||||
:end
|
||||
echo.
|
||||
echo -- %~nx0 Finished!
|
||||
echo %SEPARATOR%
|
||||
echo. && echo.
|
||||
popd
|
||||
goto:eof
|
170
01-WaveformGenerator/Scripts/trimLibs.pl
Normal file
170
01-WaveformGenerator/Scripts/trimLibs.pl
Normal file
@ -0,0 +1,170 @@
|
||||
#!/usr/bin/perl
|
||||
# ------------------------------------------------------------------------------
|
||||
# trimLibs
|
||||
# Comment regular libraries in an concatenated file
|
||||
# Help Parameter : <?>
|
||||
# Parameter : trimlibs.pl <Input File Name> <Output File Name>
|
||||
# ------------------------------------------------------------------------------
|
||||
# Authors:
|
||||
# cof: [François Corthay](francois.corthay@hevs.ch)
|
||||
# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch)
|
||||
# zas: [Silvan Zahno](silvan.zahno@hevs.ch)
|
||||
# gal: [Laurent Gauch]
|
||||
# ama: [Amand Axel](axel.amand@hevs.ch)
|
||||
# ------------------------------------------------------------------------------
|
||||
# Changelog:
|
||||
# 2023.05.16 : ama
|
||||
# * Add: ice40 libs support
|
||||
# 2023.02.01 : ama
|
||||
# * Add: ECP5U libs support
|
||||
# 2019.08.23 : cof
|
||||
# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines
|
||||
# * Seen problems in ELN_Kart
|
||||
# 2019.06.11 : zas
|
||||
# * Comment "For All .... work."" instead all "For All" lines
|
||||
# * Allow Outputfilename as Env var or as Script parameter
|
||||
# 2015-08-25 : guo
|
||||
# * added unisim to the list of excluded libraries
|
||||
# 2015-05-08 : guo
|
||||
# * added verbosity debug
|
||||
# * changed this header
|
||||
# * minor comment modifications
|
||||
# 2013-08-13 : zas guo
|
||||
# Handle error if environment variable not found, character'pos('$') -> ')
|
||||
# was found as env var, added exception
|
||||
# 2013-06-13 : cof zas guo
|
||||
# Remove comments from testline
|
||||
# 2013-01-09 : cof --
|
||||
# * Bugfix: no carriage return on commented "use" statements
|
||||
# * Bugfix: more precise targeting of "library" statement
|
||||
# * Bugfix: "Library" test after "use" test
|
||||
# 2012-04-27 : zas
|
||||
# * Bugfix: on feature added in version 2011-06-10
|
||||
# 2012-02-02 : zas
|
||||
# * Write the output into a new file with the name defined in the
|
||||
# * $DESIGN_NAME variable
|
||||
# 2012-01-23 : zas
|
||||
# * Replaces $env_var_name by the value of the found environmemnt variable.
|
||||
# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file
|
||||
# * placed in the Simulation Directory
|
||||
# 2011-06-10 : zas
|
||||
# Replaces
|
||||
# library xxx;use xxx.yyy.all;
|
||||
# with
|
||||
# --library xxx;
|
||||
# use work.yyy.all;
|
||||
# 2005...2011 : cof
|
||||
# Improvements
|
||||
# 2005-01-29 : gal
|
||||
# initlial release
|
||||
# ------------------------------------------------------------------------------
|
||||
|
||||
$separator = '-' x 79;
|
||||
$indent = ' ' x 2;
|
||||
$hdlInFileSpec = $ARGV[0];
|
||||
if (defined $ARGV[1]) {
|
||||
$hdlOutFileSpec = $ARGV[1];
|
||||
}
|
||||
else {
|
||||
$hdlOutFileSpec = 'trimmed.vhd';
|
||||
}
|
||||
|
||||
$verbose = 1;
|
||||
$debug = 0;
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# program I/O files
|
||||
#
|
||||
$tempFileSpec = $hdlOutFileSpec . '.tmp';
|
||||
|
||||
if ($verbose == 1) {
|
||||
print "\n$separator\n";
|
||||
print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n";
|
||||
print $indent, "temporary file spec: $tempFileSpec\n";
|
||||
}
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# read original file, edit and save to temporary file
|
||||
#
|
||||
my $line;
|
||||
|
||||
open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!";
|
||||
open(tempFile, ">$tempFileSpec");
|
||||
while (chop($line = <HDLFile>)) {
|
||||
|
||||
# remove all comment for the test
|
||||
my $testline = $line;
|
||||
$testline =~ s/--.*//;
|
||||
|
||||
# Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40
|
||||
if ($testline =~ m/use\s.*\.all\s*;/i) {
|
||||
if ( not($testline =~ m/\bieee\./i) and
|
||||
not($testline =~ m/\bstd\./i) and
|
||||
not($testline =~ m/\bunisim\./i) and
|
||||
not($testline =~ m/\becp5u\./i) and
|
||||
not($testline =~ m/ice40.*\./i)) {
|
||||
# if there is any char before "use" except \s, insert new line \n
|
||||
if ( ($testline =~ m/[^\s]\s*use/i) ) {
|
||||
$line =~ s/use\s+.*?\./\nuse work./i;
|
||||
if ($debug == 1) {
|
||||
print "TEST0099: ", $testline, "\n"
|
||||
}
|
||||
}
|
||||
else {
|
||||
$line =~ s/use\s+.*?\./use work./i;
|
||||
if ($debug == 1) {
|
||||
print "TEST0105: ", $testline, "\n"
|
||||
}
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
# Comment libraries which aren't ieee or std or unisim or ecp5u or ice40
|
||||
if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) {
|
||||
if ( not($testline =~ m/ieee/i) and
|
||||
not($testline =~ m/std/i) and
|
||||
not($testline =~ m/unisim/i) and
|
||||
not($testline =~ m/ecp5u/i) and
|
||||
not($testline =~ m/ice40/i)) {
|
||||
$line = '-- ' . $line;
|
||||
}
|
||||
}
|
||||
|
||||
# Comment "FOR xxxx : yyy USE ENTITY zzz;
|
||||
if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) {
|
||||
$line = '-- ' . $line;
|
||||
}
|
||||
|
||||
# Search for $Env_Var_Names and replace them by the value of the env_var
|
||||
if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) {
|
||||
$envvar = $1;
|
||||
$envvar =~ s/^.//;
|
||||
eval {
|
||||
$line =~ s/\$$envvar/$ENV{$envvar}/;
|
||||
};
|
||||
if ($@) {
|
||||
print ("WARNING: Environment Variable not found: $envvar \n")
|
||||
}
|
||||
|
||||
}
|
||||
|
||||
print tempFile ("$line\n");
|
||||
}
|
||||
|
||||
close(tempFile);
|
||||
close(HDLFile);
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# delete original file and rename temporary file
|
||||
#
|
||||
unlink($hdlOutFileSpec);
|
||||
rename($tempFileSpec, $hdlOutFileSpec);
|
||||
|
||||
if ($verbose == 1) {
|
||||
print "$separator\n";
|
||||
}
|
||||
|
||||
#if ($verbose == 1) {
|
||||
# print $indent, "Hit any <CR> to continue";
|
||||
# $dummy = <STDIN>;
|
||||
#}
|
91
01-WaveformGenerator/Scripts/update_ise.pl
Normal file
91
01-WaveformGenerator/Scripts/update_ise.pl
Normal file
@ -0,0 +1,91 @@
|
||||
#!/usr/bin/perl
|
||||
# ------------------------------------------------------------------------------
|
||||
# update_ise
|
||||
# replace ucf and vhd filelocation and name in the Xilinx xise project file
|
||||
# Help Parameter : <?>
|
||||
# Parameter : update_ise.pl <ISE File Spec> <VHDL File Spec> <UCF File Spec>
|
||||
# ------------------------------------------------------------------------------
|
||||
# Changelog:
|
||||
# 2019-06-12 : zas
|
||||
# * All parameters given with agruments instead of env variables
|
||||
# 2015-05-26 : guo
|
||||
# * update to environment from HELS v.15.0526
|
||||
# 2012-05-27 : cof
|
||||
# * Initial release
|
||||
# ------------------------------------------------------------------------------
|
||||
|
||||
$separator = '-' x 79;
|
||||
$indent = ' ' x 2;
|
||||
|
||||
$iseFileSpec = $ARGV[0];
|
||||
$vhdlFileSpec = $ARGV[1];
|
||||
$ucfFileSpec = $ARGV[2];
|
||||
|
||||
$verbose = 1;
|
||||
|
||||
if ($verbose == 1) {
|
||||
print "Script Parameters:\n";
|
||||
print " * iseFileSpec: $iseFileSpec\n";
|
||||
print " * vhdlFileSpec: $vhdlFileSpec\n";
|
||||
print " * ucfFileSpec: $ucfFileSpec\n";
|
||||
}
|
||||
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# program I/O files
|
||||
#
|
||||
$tempFileSpec = $iseFileSpec . '.tmp';
|
||||
|
||||
if ($verbose == 1) {
|
||||
print "\n$separator\n";
|
||||
print "Updating file specifications in $iseFileSpec\n";
|
||||
print $indent, "temporary file spec: $tempFileSpec\n";
|
||||
}
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# read original file, edit and save to temporary file
|
||||
#
|
||||
my $line;
|
||||
|
||||
open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!";
|
||||
open(tempFile, ">$tempFileSpec");
|
||||
while (chop($line = <ISEFile>)) {
|
||||
# replace VHDL files
|
||||
if ($line =~ m/FILE_VHDL/i) {
|
||||
$line =~ s/<file\s+xil_pn\:name=.*?".+?"/<file xil_pn:name="$vhdlFileSpec"/ig;
|
||||
}
|
||||
# replace EDIF files
|
||||
if ($line =~ m/FILE_EDIF/i) {
|
||||
$line =~ s/<file\s+xil_pn\:name=.*?".+?"/<file xil_pn:name="$edifFileSpec"/ig;
|
||||
}
|
||||
# replace UCF files
|
||||
if ($line =~ m/FILE_UCF/i) {
|
||||
$line =~ s/<file\s+xil_pn\:name=.*?".+?"/<file xil_pn:name="$ucfFileSpec"/ig;
|
||||
}
|
||||
# Implementation Top files
|
||||
if ($line =~ m/\.vhd"/i) {
|
||||
$line =~ s/<property\s+xil_pn:name="Implementation\s+Top\s+File"\s+xil_pn\:value=".+?"/<property xil_pn:name="Implementation Top File" xil_pn:value="$vhdlFileSpec"/ig;
|
||||
}
|
||||
if ($line =~ m/\.edf"/i) {
|
||||
$line =~ s/<property\s+xil_pn:name="Implementation\s+Top\s+File"\s+xil_pn\:value=".+?"/<property xil_pn:name="Implementation Top File" xil_pn:value="$edifFileSpec"/ig;
|
||||
}
|
||||
# replace UCF binding
|
||||
if ($line =~ m/\.ucf"/i) {
|
||||
$line =~ s/<binding\s+(.+)\s+xil_pn\:name=.*?".+?"/<binding $1 xil_pn:name="$ucfFileSpec"/ig;
|
||||
}
|
||||
|
||||
print tempFile ("$line\n");
|
||||
}
|
||||
|
||||
close(tempFile);
|
||||
close(ISEFile);
|
||||
|
||||
#-------------------------------------------------------------------------------
|
||||
# delete original file and rename temporary file
|
||||
#
|
||||
unlink($iseFileSpec);
|
||||
rename($tempFileSpec, $iseFileSpec);
|
||||
|
||||
if ($verbose == 1) {
|
||||
print "$separator\n";
|
||||
}
|
30
01-WaveformGenerator/Simulation/waveformGen.do
Normal file
30
01-WaveformGenerator/Simulation/waveformGen.do
Normal file
@ -0,0 +1,30 @@
|
||||
onerror {resume}
|
||||
quietly WaveActivateNextPane {} 0
|
||||
add wave -noupdate /waveformgen_tb/reset
|
||||
add wave -noupdate /waveformgen_tb/clock
|
||||
add wave -noupdate /waveformgen_tb/en
|
||||
add wave -noupdate -divider {generator signals}
|
||||
add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sawtooth
|
||||
add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/square
|
||||
add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/triangle
|
||||
add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/polygon
|
||||
add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sine
|
||||
TreeUpdate [SetDefaultTree]
|
||||
WaveRestoreCursors {{Cursor 1} {0 ns} 0}
|
||||
quietly wave cursor active 0
|
||||
configure wave -namecolwidth 272
|
||||
configure wave -valuecolwidth 89
|
||||
configure wave -justifyvalue left
|
||||
configure wave -signalnamewidth 0
|
||||
configure wave -snapdistance 10
|
||||
configure wave -datasetprefix 0
|
||||
configure wave -rowmargin 4
|
||||
configure wave -childrowmargin 2
|
||||
configure wave -gridoffset 0
|
||||
configure wave -gridperiod 1000
|
||||
configure wave -griddelta 40
|
||||
configure wave -timeline 0
|
||||
configure wave -timelineunits us
|
||||
update
|
||||
WaveRestoreZoom {0 ns} {525 us}
|
||||
run 500 us
|
@ -0,0 +1,4 @@
|
||||
ARCHITECTURE studentVersion OF lowpass IS
|
||||
BEGIN
|
||||
lowpassOut <= (others => '0');
|
||||
END ARCHITECTURE studentVersion;
|
@ -0,0 +1,5 @@
|
||||
ARCHITECTURE studentVersion OF sawtoothGen IS
|
||||
BEGIN
|
||||
sawtooth <= (others => '0');
|
||||
END ARCHITECTURE studentVersion;
|
||||
|
@ -0,0 +1,4 @@
|
||||
ARCHITECTURE studentVersion OF sawtoothToSquare IS
|
||||
BEGIN
|
||||
square <= (others => '0');
|
||||
END ARCHITECTURE studentVersion;
|
@ -0,0 +1,4 @@
|
||||
ARCHITECTURE studentVersion OF sawtoothToTriangle IS
|
||||
BEGIN
|
||||
triangle <= (others => '0');
|
||||
END ARCHITECTURE studentVersion;
|
@ -0,0 +1,4 @@
|
||||
ARCHITECTURE studentVersion OF triangleToPolygon IS
|
||||
BEGIN
|
||||
polygon <= (others => '0');
|
||||
END ARCHITECTURE studentVersion;
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
@ -0,0 +1 @@
|
||||
DIALECT atom VHDL_2008
|
2
01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf
Normal file
2
01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf
Normal file
@ -0,0 +1,2 @@
|
||||
DEFAULT_ARCHITECTURE atom studentVersion
|
||||
DEFAULT_FILE atom lowpass_studentVersion.vhd
|
@ -0,0 +1,2 @@
|
||||
DEFAULT_FILE atom sawtoothGen_studentVersion.vhd
|
||||
DEFAULT_ARCHITECTURE atom studentVersion
|
@ -0,0 +1,2 @@
|
||||
DEFAULT_ARCHITECTURE atom studentVersion
|
||||
DEFAULT_FILE atom sawtoothToSquare_studentVersion.vhd
|
@ -0,0 +1,2 @@
|
||||
DEFAULT_ARCHITECTURE atom studentVersion
|
||||
DEFAULT_FILE atom sawtoothToTriangle_studentVersion.vhd
|
@ -0,0 +1,2 @@
|
||||
DEFAULT_ARCHITECTURE atom studentVersion
|
||||
DEFAULT_FILE atom triangleToPolygon_studentVersion.vhd
|
@ -0,0 +1,3 @@
|
||||
DEFAULT_FILE atom waveform@gen/struct.bd
|
||||
DEFAULT_ARCHITECTURE atom struct
|
||||
TOP_MARKER atom 1
|
1607
01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb
Normal file
1607
01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb
Normal file
File diff suppressed because it is too large
Load Diff
1648
01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb
Normal file
1648
01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb
Normal file
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
4462
01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd
Normal file
4462
01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd
Normal file
File diff suppressed because it is too large
Load Diff
1936
01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb
Normal file
1936
01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,22 @@
|
||||
ARCHITECTURE test OF waveformGen_tester IS
|
||||
|
||||
constant clockPeriod: time := (1.0/clockFrequency) * 1 sec;
|
||||
signal sClock: std_uLogic := '1';
|
||||
|
||||
begin
|
||||
------------------------------------------------------------------------------
|
||||
-- clock and reset
|
||||
sClock <= not sClock after clockPeriod/2;
|
||||
clock <= transport sClock after clockPeriod*9/10;
|
||||
reset <= '1', '0' after 2*clockPeriod;
|
||||
|
||||
------------------------------------------------------------------------------
|
||||
-- enable
|
||||
en <= '0', '1' after 100 us;
|
||||
|
||||
------------------------------------------------------------------------------
|
||||
-- frequency control
|
||||
step <= to_unsigned(2**(step'length-13), step'length);
|
||||
|
||||
END ARCHITECTURE test;
|
||||
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue
Block a user