add square signal
This commit is contained in:
@ -0,0 +1,28 @@
|
||||
-- VHDL Entity WaveformGenerator.lowpass.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.francois (Aphelia)
|
||||
-- at - 08:02:49 03/11/19
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY lowpass IS
|
||||
GENERIC(
|
||||
signalBitNb : positive := 16;
|
||||
shiftBitNb : positive := 12
|
||||
);
|
||||
PORT(
|
||||
lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||
clock : IN std_ulogic;
|
||||
reset : IN std_ulogic;
|
||||
lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END lowpass ;
|
||||
|
@ -1,4 +1,16 @@
|
||||
ARCHITECTURE studentVersion OF sawtoothToSquare IS
|
||||
|
||||
signal mySignal : unsigned(bitNb-1 downto 0);
|
||||
constant constOf0 : unsigned(bitNb-2 downto 0) := (others => '0');
|
||||
constant myConst : unsigned(bitNb-1 downto 0) := ('1' & constOf0);
|
||||
|
||||
BEGIN
|
||||
square <= (others => '0');
|
||||
|
||||
convert: process(sawtooth)
|
||||
begin
|
||||
mySignal <= sawtooth AND myConst;
|
||||
end process convert;
|
||||
|
||||
square <= (others => sawtooth(bitNb-1));
|
||||
|
||||
END ARCHITECTURE studentVersion;
|
||||
|
@ -0,0 +1,28 @@
|
||||
-- VHDL Entity WaveformGenerator.sawtoothGen.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.francois (Aphelia)
|
||||
-- at - 08:02:49 03/11/19
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY sawtoothGen IS
|
||||
GENERIC(
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
sawtooth : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
clock : IN std_ulogic;
|
||||
reset : IN std_ulogic;
|
||||
step : IN unsigned (bitNb-1 DOWNTO 0);
|
||||
en : IN std_ulogic
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END sawtoothGen ;
|
||||
|
@ -0,0 +1,25 @@
|
||||
-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.francois (Aphelia)
|
||||
-- at - 08:02:49 03/11/19
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY sawtoothToSquare IS
|
||||
GENERIC(
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
square : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END sawtoothToSquare ;
|
||||
|
@ -0,0 +1,25 @@
|
||||
-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.francois (Aphelia)
|
||||
-- at - 08:02:49 03/11/19
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY sawtoothToTriangle IS
|
||||
GENERIC(
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
triangle : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END sawtoothToTriangle ;
|
||||
|
@ -0,0 +1,25 @@
|
||||
-- VHDL Entity WaveformGenerator.triangleToPolygon.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.francois (Aphelia)
|
||||
-- at - 08:02:49 03/11/19
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY triangleToPolygon IS
|
||||
GENERIC(
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
polygon : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
triangle : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END triangleToPolygon ;
|
||||
|
@ -0,0 +1,33 @@
|
||||
-- VHDL Entity WaveformGenerator.waveformGen.symbol
|
||||
--
|
||||
-- Created:
|
||||
-- by - francois.corthay.UNKNOWN (WEA20303)
|
||||
-- at - 17:19:13 06.03.2019
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
ENTITY waveformGen IS
|
||||
GENERIC(
|
||||
phaseBitNb : positive := 16;
|
||||
signalBitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
clock : IN std_ulogic;
|
||||
en : IN std_ulogic;
|
||||
reset : IN std_ulogic;
|
||||
step : IN unsigned (phaseBitNb-1 DOWNTO 0);
|
||||
polygon : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||
sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0);
|
||||
sine : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||
square : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||
triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)
|
||||
);
|
||||
|
||||
-- Declarations
|
||||
|
||||
END waveformGen ;
|
||||
|
@ -0,0 +1,146 @@
|
||||
--
|
||||
-- VHDL Architecture WaveformGenerator.waveformGen.struct
|
||||
--
|
||||
-- Created:
|
||||
-- by - axel.amand.UNKNOWN (WE7860)
|
||||
-- at - 14:40:08 28.04.2023
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
USE ieee.numeric_std.all;
|
||||
|
||||
LIBRARY WaveformGenerator;
|
||||
|
||||
ARCHITECTURE struct OF waveformGen IS
|
||||
|
||||
-- Architecture declarations
|
||||
|
||||
-- Internal signal declarations
|
||||
|
||||
-- Implicit buffer signal declarations
|
||||
SIGNAL polygon_internal : unsigned (signalBitNb-1 DOWNTO 0);
|
||||
SIGNAL sawtooth_internal : unsigned (phaseBitNb-1 DOWNTO 0);
|
||||
SIGNAL triangle_internal : unsigned (signalBitNb-1 DOWNTO 0);
|
||||
|
||||
|
||||
-- Component Declarations
|
||||
COMPONENT lowpass
|
||||
GENERIC (
|
||||
signalBitNb : positive := 16;
|
||||
shiftBitNb : positive := 12
|
||||
);
|
||||
PORT (
|
||||
lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0);
|
||||
clock : IN std_ulogic ;
|
||||
reset : IN std_ulogic ;
|
||||
lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)
|
||||
);
|
||||
END COMPONENT;
|
||||
COMPONENT sawtoothGen
|
||||
GENERIC (
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT (
|
||||
sawtooth : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
clock : IN std_ulogic ;
|
||||
reset : IN std_ulogic ;
|
||||
step : IN unsigned (bitNb-1 DOWNTO 0);
|
||||
en : IN std_ulogic
|
||||
);
|
||||
END COMPONENT;
|
||||
COMPONENT sawtoothToSquare
|
||||
GENERIC (
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT (
|
||||
square : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
END COMPONENT;
|
||||
COMPONENT sawtoothToTriangle
|
||||
GENERIC (
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT (
|
||||
triangle : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
END COMPONENT;
|
||||
COMPONENT triangleToPolygon
|
||||
GENERIC (
|
||||
bitNb : positive := 16
|
||||
);
|
||||
PORT (
|
||||
polygon : OUT unsigned (bitNb-1 DOWNTO 0);
|
||||
triangle : IN unsigned (bitNb-1 DOWNTO 0)
|
||||
);
|
||||
END COMPONENT;
|
||||
|
||||
-- Optional embedded configurations
|
||||
-- pragma synthesis_off
|
||||
FOR ALL : lowpass USE ENTITY WaveformGenerator.lowpass;
|
||||
FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen;
|
||||
FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare;
|
||||
FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle;
|
||||
FOR ALL : triangleToPolygon USE ENTITY WaveformGenerator.triangleToPolygon;
|
||||
-- pragma synthesis_on
|
||||
|
||||
|
||||
BEGIN
|
||||
|
||||
-- Instance port mappings.
|
||||
I_lp : lowpass
|
||||
GENERIC MAP (
|
||||
signalBitNb => signalBitNb,
|
||||
shiftBitNb => 10
|
||||
)
|
||||
PORT MAP (
|
||||
lowpassOut => sine,
|
||||
clock => clock,
|
||||
reset => reset,
|
||||
lowpassIn => polygon_internal
|
||||
);
|
||||
I_saw : sawtoothGen
|
||||
GENERIC MAP (
|
||||
bitNb => phaseBitNb
|
||||
)
|
||||
PORT MAP (
|
||||
sawtooth => sawtooth_internal,
|
||||
clock => clock,
|
||||
reset => reset,
|
||||
step => step,
|
||||
en => en
|
||||
);
|
||||
I_square : sawtoothToSquare
|
||||
GENERIC MAP (
|
||||
bitNb => signalBitNb
|
||||
)
|
||||
PORT MAP (
|
||||
square => square,
|
||||
sawtooth => sawtooth_internal
|
||||
);
|
||||
I_tri : sawtoothToTriangle
|
||||
GENERIC MAP (
|
||||
bitNb => signalBitNb
|
||||
)
|
||||
PORT MAP (
|
||||
triangle => triangle_internal,
|
||||
sawtooth => sawtooth_internal
|
||||
);
|
||||
I_poly : triangleToPolygon
|
||||
GENERIC MAP (
|
||||
bitNb => signalBitNb
|
||||
)
|
||||
PORT MAP (
|
||||
polygon => polygon_internal,
|
||||
triangle => triangle_internal
|
||||
);
|
||||
|
||||
-- Implicit buffered output assignments
|
||||
polygon <= polygon_internal;
|
||||
sawtooth <= sawtooth_internal;
|
||||
triangle <= triangle_internal;
|
||||
|
||||
END struct;
|
@ -0,0 +1,27 @@
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 18 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 19 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 76,0 20 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 21 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 24 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 25 0
|
@ -0,0 +1,30 @@
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 17 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 18 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 76,0 19 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 20 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 89,0 21 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 24 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 25 0
|
@ -0,0 +1,21 @@
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 17 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 18 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 21 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 22 0
|
@ -0,0 +1,21 @@
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 17 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 18 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 21 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 22 0
|
@ -0,0 +1,21 @@
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 17 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 18 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 21 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 22 0
|
@ -0,0 +1,42 @@
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
NO_GRAPHIC 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 50,0 8 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 18 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 123,0 19 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 88,0 20 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 113,0 21 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 93,0 22 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 98,0 23 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 103,0 24 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 108,0 25 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 118,0 26 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 29 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 1,0 30 0
|
@ -0,0 +1,215 @@
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 84,0 9 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 12
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 0,0 15 2
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 20
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 513,0 22 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 414,0 23 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 424,0 24 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 26
|
||||
LIBRARY WaveformGenerator
|
||||
DESIGN lowpass
|
||||
VIEW student@version
|
||||
GRAPHIC 1036,0 28 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 29 1
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 34 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 35 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 76,0 36 0
|
||||
DESIGN lowpass
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 37 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1227,0 40 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 41 1
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 45 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 46 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 76,0 47 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 48 0
|
||||
DESIGN sawtooth@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 89,0 49 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 916,0 52 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 53 1
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 57 0
|
||||
DESIGN sawtooth@to@square
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 58 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 977,0 61 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 62 1
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 66 0
|
||||
DESIGN sawtooth@to@triangle
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 67 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1011,0 70 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 71 1
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 57,0 75 0
|
||||
DESIGN triangle@to@polygon
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 83,0 76 0
|
||||
LIBRARY WaveformGenerator
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 79
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1036,0 82 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1227,0 83 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 916,0 84 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 977,0 85 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1011,0 86 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 89
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 91
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1036,0 93 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1043,0 94 1
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 562,0 99 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 184,0 100 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 192,0 101 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 513,0 102 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1227,0 104 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1234,0 105 1
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 414,0 109 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 15,0 110 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 237,0 111 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 319,0 112 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 719,0 113 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 916,0 115 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 923,0 116 1
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 480,0 120 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 414,0 121 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 977,0 123 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 984,0 124 1
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 424,0 128 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 472,0 129 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1011,0 131 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1018,0 132 1
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 513,0 136 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 424,0 137 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 513,0 141 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 414,0 142 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
GRAPHIC 424,0 143 0
|
||||
DESIGN waveform@gen
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 145
|
@ -0,0 +1,6 @@
|
||||
EDIT_LOCK
|
||||
remi.heredero
|
||||
UNKNOWN
|
||||
WE2330808
|
||||
2208
|
||||
27.02.2024-10:16:57.263000
|
Reference in New Issue
Block a user