1
0

Initial commit

This commit is contained in:
Rémi Heredero 2024-03-22 13:16:48 +01:00
commit 8b2f630f7b
499 changed files with 87136 additions and 0 deletions

110
.gitignore vendored Normal file
View File

@ -0,0 +1,110 @@
ipcache
*.ip_user_files
*.dcp
*.cache
*.data
*.xpr
*.log
*.bld
*.chk
*.cmd_log
*.cxt
*.gise
*.gyd
*.jed
*.lso
*.mfd
*.nga
*.ngc
*.ngd
*.ngr
*.pad
*.pnx
*.prj
*.rpt
*.stx
*.syr
*.tim
*.tspec
*.vm6
*.xst
*.html
*.xrpt
*.err
*_html
*.sld
*.txt
*.qsys
*.csv
xst
netgen
iseconfig
xlnx_auto*
_ngo
_xmsgs
component.xml
*.jou
xgui
*.runs
*.srcs
*.sdk
.Xil
*_INFO.txt
*_dump.txt
db
*.asm.rpt
*.done
*.eda.rpt
*.fit.*
*.map.*
*.sta.*
*.qsf
*.qpf
*.qws
*.sof
*.rbf
system_qsys_script.tcl
hc_output
hps_isw_handoff
hps_sdram_*.csv
incremental_db
system_bd/
reconfig_mif
*.sopcinfo
*.jdi
*.pin
*.os
*webtalk*
*.xml
*.hw
gui
.timestamp_intel
temporary_case_dependencies.mk
*.zip
*.str
*.vcd
*.wlf
transcript
tags
boot_bin
_info
_vmake
*.qdb
*.qpg
*.qtl
*.xpe
*.gen*
*.xsa
*.pdi
library/**/bd/bd.tcl
*.syn.smsg
*.syn.summary
qdb
tmp-clearbox
*.bin
__pycache__
_build
.qsys_edit
.github/CODEOWNERS
.github/PULL_REQUEST_TEMPLATE.md
library/**/.lock

1
.unorderedFilePath Normal file
View File

@ -0,0 +1 @@
C:\Users\REMY~1.BOR\AppData\Local\Temp\rtlc2/rtlc_files_vhdl

View File

@ -0,0 +1,11 @@
version "4.2"
Policy (Policy
name "My_Altera_Policy"
build "DesignChecker 2007.1a (Build 13)
Built on Mon Feb 25 2008 at 12:14"
RuleSets [
"Altera"
]
DisabledConfiguredRules [
]
)

View File

@ -0,0 +1,11 @@
version "4.2"
Policy (Policy
name "My_Essentials_Policy"
build "DesignChecker 2007.1a (Build 13)
Built on Mon Feb 25 2008 at 12:14"
RuleSets [
"Essentials"
]
DisabledConfiguredRules [
]
)

View File

@ -0,0 +1,11 @@
version "4.2"
Policy (Policy
name "My_RMM_Policy"
build "DesignChecker 2007.1a (Build 13)
Built on Mon Feb 25 2008 at 12:14"
RuleSets [
"RMM"
]
DisabledConfiguredRules [
]
)

View File

@ -0,0 +1,11 @@
version "4.2"
Policy (Policy
name "My_Xilinx_Policy"
build "DesignChecker 2007.1a (Build 13)
Built on Mon Feb 25 2008 at 12:14"
RuleSets [
"Xilinx"
]
DisabledConfiguredRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_Altera_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Altera"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_Checklist_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Checklist"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_DO-254_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"DO-254"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_Essentials_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Essentials"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_RMM_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"RMM"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_Safety-Critical_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Safety-Critical"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,13 @@
version "19.2"
Policy (Policy
name "My_Xilinx_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Xilinx"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,14 @@
version "19.2"
Policy (Policy
name "Verification_OVM_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Verification_OVM"
"Verification_SV"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

View File

@ -0,0 +1,14 @@
version "19.2"
Policy (Policy
name "Verification_UVM_Policy"
build "DesignChecker 2019.2 (Build 5)
Built on Sat Apr 20 2019 at 23:04"
RuleSets [
"Verification_UVM"
"Verification_SV"
]
DisabledConfiguredRules [
]
JustificationForDisabledRules [
]
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,412 @@
version "4.2"
Lint [
(LintPreferences
version "1.1"
xPos 100
yPos 100
width 820
height 650
unixHTMLViewer ""
showGettingStarted 1
masterClocks [
]
masterResets [
]
vhdlExtensions [
"vhd"
"vhdl"
"vho"
]
verilogExtensions [
"v"
"vlg"
"verilog"
"vo"
]
verilogSearchPath ""
verilogLibraryOrder ""
verilogDefaultLibrary "verilog"
vhdlDefaultLibrary "vhdl"
rulesetLocation ""
lastOpenProject ""
autoDetectDesignRoot 1
recentProjects [
]
Viewpoints_V2 [
(Viewpoint_v2
name "All: (No Groups)"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Rule Severity"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Rule Category"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Ruleset"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Rule Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Severity, Ruleset and Rule"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Library"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Design Unit Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Scope"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Library, Design Unit and Scope"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Filename"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Leaf Filename"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 100
alignment 0
)
(SmartTableVPData_Column
name "File and Line"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Full Message"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Message"
width 24
alignment 1
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "List: (No Groups)"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Rule Severity"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Rule Category"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Ruleset"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Rule Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Library"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Design Unit Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Scope"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Filename"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Leaf Filename"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Hint"
width 394
alignment 0
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "Severity & File"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 64
alignment 0
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
"Rule Severity"
"Leaf Filename"
"Rule Name"
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "Severity & Ruleset"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 64
alignment 0
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
"Rule Severity"
"Severity, Ruleset and Rule"
"Library, Design Unit and Scope"
]
disableFilters 1
)
]
layoutExpression ""
)
]
activeViewpoint 3
shortcutViewpoints [
"All: (No Groups)"
"List: (No Groups)"
"Severity & File"
"Severity & Ruleset"
]
summaryExpandCollapseState [
1
1
0
0
0
0
]
defaultPolicyName "My_Essentials_Policy"
expandedFolders [
]
selectedFolder [
]
selectedItem ""
searchPattern ""
searchMatchAllWords 0
searchIncludeSynonyms 0
searchMatchWholeWordOnly 0
searchMatchCase 0
searchUseRegularExpression 0
searchSelectedParams [
]
searchSelectedNodes [
]
searchExpandedNodes [
]
checkedExampleRulesets [
]
SeverityClasses [
]
ActiveSeverityClass -1
ActiveSeverityClassName ""
win32CustomColours (win32CustomColours
color0 16777215
color1 16777215
color2 16777215
color3 16777215
color4 16777215
color5 16777215
color6 16777215
color7 16777215
color8 16777215
color9 16777215
color10 16777215
color11 16777215
color12 16777215
color13 16777215
color14 16777215
color15 16777215
)
enableScoring 1
includeDisabledRules 0
)
]

View File

@ -0,0 +1,507 @@
version "19.2"
Lint [
(LintPreferences
version "1.1"
xPos 100
yPos 100
width 820
height 650
unixHTMLViewer ""
showGettingStarted 1
masterClocks [
]
masterResets [
]
vhdlExtensions [
"vhd"
"vhdl"
"vho"
]
verilogExtensions [
"v"
"vlg"
"verilog"
"vo"
"sv"
"svh"
]
verilogSearchPath ""
verilogLibraryOrder ""
verilogDefaultLibrary "work"
vhdlDefaultLibrary "work"
rulesetLocation ""
lastOpenProject ""
autoDetectDesignRoot 1
recentProjects [
]
Viewpoints_V2 [
(Viewpoint_v2
name "All: (No Groups)"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Rule Severity"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Rule Category"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Ruleset"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Rule Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Severity, Ruleset and Rule"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Library"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Design Unit Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Scope"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Library, Design Unit and Scope"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Filename"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Leaf Filename"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 100
alignment 0
)
(SmartTableVPData_Column
name "File and Line"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Full Message"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Message"
width 24
alignment 1
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
"SV Packages"
"Interfaces"
"Program Blocks"
"Classes"
"Contexts"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "List: (No Groups)"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Rule Severity"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Rule Category"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Ruleset"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Rule Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Library"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Design Unit Name"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Scope"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Filename"
width 200
alignment 0
)
(SmartTableVPData_Column
name "Leaf Filename"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 100
alignment 0
)
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Hint"
width 394
alignment 0
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
"SV Packages"
"Interfaces"
"Program Blocks"
"Classes"
"Contexts"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "Severity & File"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 64
alignment 0
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
"SV Packages"
"Interfaces"
"Program Blocks"
"Classes"
"Contexts"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
"Rule Severity"
"Leaf Filename"
"Rule Name"
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "Severity & Ruleset"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Message"
width 593
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 64
alignment 0
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Configurations"
"Entities"
"Files"
"Modules"
"Package Bodies"
"Package Headers"
"Syntax Errors"
"SV Packages"
"Interfaces"
"Program Blocks"
"Classes"
"Contexts"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
"Rule Severity"
"Severity, Ruleset and Rule"
"Library, Design Unit and Scope"
]
disableFilters 1
)
]
layoutExpression ""
)
(Viewpoint_v2
name "Severity & Rule Path"
TreeListVPDatas [
]
SmartTableVPDatas [
(SmartTableVPData
theList ""
columns [
(SmartTableVPData_Column
name "Message"
width 556
alignment 0
)
(SmartTableVPData_Column
name "Line Number"
width 64
alignment 0
)
(SmartTableVPData_Column
name "Code Snippet"
width 80
alignment 1
)
(SmartTableVPData_Column
name "Hint"
width 21
alignment 1
)
]
filterNames [
"Architectures"
"Classes"
"Configurations"
"Contexts"
"Entities"
"Files"
"Interfaces"
"Modules"
"Package Bodies"
"Package Headers"
"Program Blocks"
"SV Packages"
"Syntax Errors"
]
filterString ""
filterColumn ""
matchCase 0
matchWholeWordOnly 0
regularExpression 1
groupNames [
"Rule Severity"
"Severity and Rule Path"
"Library, Design Unit and Scope"
]
disableFilters 1
)
]
layoutExpression ""
)
]
activeViewpoint 3
shortcutViewpoints [
"All: (No Groups)"
"List: (No Groups)"
"Severity & File"
"Severity & Ruleset"
"Severity & Rule Path"
]
summaryExpandCollapseState [
1
1
0
0
0
0
]
defaultPolicyName "My_Essentials_Policy"
expandedFolders [
]
selectedFolder [
]
selectedItem ""
searchPattern ""
searchMatchAllWords 0
searchIncludeSynonyms 0
searchMatchWholeWordOnly 0
searchMatchCase 0
searchUseRegularExpression 0
searchSelectedParams [
]
reportMissingDeclarations 0
reportMissingDeclarationsLocation ""
generateMissingDeclarations 0
generateMissingDeclarationsOption ""
generatedMissingDeclarationsLocation ""
copyBeforeOverwriteGeneratedFiles 0
treatDonttouchAsMissingDeclarations 0
generateMissingBuffer 0
copyBeforeOverwritePrefix ""
promptJustification 1
displayWaivers 0
allowSynthPragmasToDisableParsing 0
enableSummaryExtraInfo 1
searchSelectedNodes [
]
searchExpandedNodes [
]
checkedExampleRulesets [
]
SeverityClasses [
]
ActiveSeverityClass -1
ActiveSeverityClassName ""
win32CustomColours (win32CustomColours
color0 16777215
color1 16777215
color2 16777215
color3 16777215
color4 16777215
color5 16777215
color6 16777215
color7 16777215
color8 16777215
color9 16777215
color10 16777215
color11 16777215
color12 16777215
color13 16777215
color14 16777215
color15 16777215
)
enableScoring 1
includeDisabledRules 0
)
]

View File

@ -0,0 +1,40 @@
[Editor]
mark.lineImage=yellowball
[LexParser]
[Printer]
[DND]
TrackerBg=SystemHighlight
signalAcceptDropBg=white
signalRefuseDropBg=red
[General]
[Browser]
normalTextBg=white
normalTextFg=black
[Replace]
historyMax=4
atomicReplaceAll=No
[Console]
[Templates]
Visibility=No
[SearchInFiles]
SearchAsRegExp=0
MatchCase=0
LookInSubfolders=0
historyMax=4
[VDiff]
[R72]
indentType=spaces
indentString=\#\#\#
[TCOM]
logTCOMActivity=No
afterIdleHandlerTimeSlice=300
[ToolbarFrames]
Group1=Standard Search
Group2=Edit Bookmarks View Macros DocumentTools Windows
Group3=VersionManagement Tasks
[Help]
default=te_guide
[Plugins]
userLanguages=
[Search]
historyMax=4

View File

@ -0,0 +1,70 @@
[LexParser]
[Editor]
mark.lineImage=yellowball
recentFile0=/media/francois/Transfer/math_real.vhdl
lastFilter=vhdl
recentFile1=/usr/opt/HDS/hdl_libs/ieee/hdl/math_real.vhdl
recentFile2=/media/francois/Transfer/std_logic_1164.vhdl
recentFile3=/usr/opt/HDS/hdl_libs/ieee/hdl/std_logic_1164.vhdl
[Printer]
ENSCRIPT_LIBRARY=/usr/opt/HDS/resources/enscript/share/enscript
[ToolbarFrames]
geom0Group1=top H
geom0Group2=top H
geom0Group3=top H
state0Search=1
Num=0
state0VersionManagement=1
state0Tasks=1
state0View=1
state0Standard=1
state0Edit=1
Group1=Standard Search
Group2=Edit Bookmarks View Macros DocumentTools Windows
Group3=VersionManagement Tasks
state0Macros=1
state0Bookmarks=1
state0Windows=1
state0DocumentTools=1
[DND]
TrackerBg=#c3c3c3
signalAcceptDropBg=white
signalRefuseDropBg=red
[General]
[Browser]
normalTextBg=white
normalTextFg=black
[Replace]
historyMax=4
atomicReplaceAll=No
[Console]
[Templates]
Visibility=No
[SearchInFiles]
SearchAsRegExp=0
MatchCase=0
LookInSubfolders=0
historyMax=4
[VDiff]
[R72]
indentType=spaces
indentString=\#\#\#
[TCOM]
logTCOMActivity=No
afterIdleHandlerTimeSlice=300
[Menus]
DocAndVis=
[Geometry]
FrameSupp0,0=165
TopWindow0=1168x947+72+19
FrameSupp0,1=165
FrameSupp0,2=165
[Help]
default=te_guide
[Plugins]
userLanguages=
[Search]
historyMax=4
[LexParser.LexVHDL'93]
[LexParser.LexPSL]
[LexParser.LexVHDL2008]

17
Prefs/hds.hdp Normal file
View File

@ -0,0 +1,17 @@
[DesignChecker]
VHD_test = $HDS_PROJECT_DIR\..\VHD_test\designcheck
[ModelSim]
VHD = $SCRATCH_DIR/Exam/VHD/work
VHD_test = $SCRATCH_DIR/Exam/VHD_test/work
[hdl]
VHD = $HDS_PROJECT_DIR/../VHD/hdl
VHD_test = $HDS_PROJECT_DIR/../VHD_test/hdl
[hds]
VHD = $HDS_PROJECT_DIR/../VHD/hds
VHD_test = $HDS_PROJECT_DIR/../VHD_test/hds
[library_type]
ieee = standard
moduleware = standard
std = standard
[shared]
others = $HDS_TEAM_HOME/shared.hdp

3
Prefs/hds.info/pll.lpf Normal file
View File

@ -0,0 +1,3 @@
version "1.0"
pathExcludes [
]

View File

@ -0,0 +1,3 @@
version "1.0"
pathExcludes [
]

View File

@ -0,0 +1,3 @@
version "1.0"
pathExcludes [
]

15
Prefs/hds_team/shared.hdp Normal file
View File

@ -0,0 +1,15 @@
[hdl]
ieee = $HDS_HOME/hdl_libs/ieee/hdl
std = $HDS_HOME/hdl_libs/std/hdl
vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl
[hds]
ieee = $HDS_HOME/hdl_libs/ieee/hds
std = $HDS_HOME/hdl_libs/std/hds
vital2000 = $HDS_HOME/hdl_libs/vital2000/hds
[hds_settings]
project_description = The standard HDS shared project
version = 2
[library_type]
ieee = standard
std = standard
vital2000 = standard

View File

@ -0,0 +1,55 @@
version "7.0"
RenoirTeamPreferences [
(BaseTeamPreferences
version "1.1"
verConcat 0
ttDGProps [
]
fcDGProps [
]
smDGProps [
]
asmDGProps [
]
bdDGProps [
]
syDGProps [
]
)
(VersionControlTeamPreferences
version "1.1"
VMPlugin ""
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMSvnHdlRepository ""
VMDefaultView 1
VMCurrentDesignHierarchyOnly 0
VMUserData 1
VMGeneratedHDL 0
VMVerboseMode 0
VMAlwaysEmpty 0
VMSetTZ 1
VMSymbol 1
VMCurrentDesignHierarchy 0
VMMultipleRepositoryMode 0
VMSnapshotViewMode 0
backupNameClashes 1
clearCaseMaster 0
)
(CustomizeTeamPreferences
version "1.1"
FileTypes [
]
)
]

View File

@ -0,0 +1,273 @@
version "4.1"
TitleBlockTemplateRegistrar (TitleBlockTemplate
TitleBlock (Grouping
optionalChildren [
*1 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,70000,35000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,70000,27100,71000"
st "
by %user on %dd %month %year"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*2 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,66000,39000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,66000,37800,67000"
st "
Project:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*3 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,68000,35000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,68000,27800,69000"
st "
<enter diagram title here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*4 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,68000,18000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,68000,15900,69000"
st "
Title:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*5 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,67000,55000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,67200,44000,68200"
st "
<enter comments here>"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*6 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "39000,66000,55000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "39200,66000,48900,67000"
st "%project_name"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*7 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,66000,35000,68000"
)
text (MLText
va (VaSet
fg "32768,0,0"
)
xt "19950,66350,29050,67650"
st "
<company name>"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*8 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,69000,18000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,69000,15900,70000"
st "
Path:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*9 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,70000,18000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,70000,16500,71000"
st "
Edited:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*10 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,69000,35000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,69000,25400,70000"
st "
%library/%unit/%view"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "14000,66000,55000,71000"
)
)
)

View File

@ -0,0 +1,55 @@
version "8.0"
RenoirTeamPreferences [
(BaseTeamPreferences
version "1.1"
verConcat 0
ttDGProps [
]
fcDGProps [
]
smDGProps [
]
asmDGProps [
]
bdDGProps [
]
syDGProps [
]
)
(VersionControlTeamPreferences
version "1.1"
VMPlugin ""
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMSvnHdlRepository ""
VMDefaultView 1
VMCurrentDesignHierarchyOnly 0
VMUserData 1
VMGeneratedHDL 0
VMVerboseMode 0
VMAlwaysEmpty 0
VMSetTZ 1
VMSymbol 1
VMCurrentDesignHierarchy 0
VMMultipleRepositoryMode 0
VMSnapshotViewMode 0
backupNameClashes 1
clearCaseMaster 0
)
(CustomizeTeamPreferences
version "1.1"
FileTypes [
]
)
]

View File

@ -0,0 +1,273 @@
version "4.1"
TitleBlockTemplateRegistrar (TitleBlockTemplate
TitleBlock (Grouping
optionalChildren [
*1 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,70000,35000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,70000,27100,71000"
st "
by %user on %dd %month %year"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*2 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,66000,39000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,66000,37800,67000"
st "
Project:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*3 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,68000,35000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,68000,27800,69000"
st "
<enter diagram title here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*4 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,68000,18000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,68000,15900,69000"
st "
Title:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*5 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,67000,55000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,67200,44000,68200"
st "
<enter comments here>"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*6 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "39000,66000,55000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "39200,66000,48900,67000"
st "%project_name"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*7 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,66000,35000,68000"
)
text (MLText
va (VaSet
fg "32768,0,0"
)
xt "19950,66350,29050,67650"
st "
<company name>"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*8 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,69000,18000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,69000,15900,70000"
st "
Path:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*9 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,70000,18000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,70000,16500,71000"
st "
Edited:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*10 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,69000,35000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,69000,25400,70000"
st "
%library/%unit/%view"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "14000,66000,55000,71000"
)
)
)

View File

@ -0,0 +1,55 @@
version "8.0"
RenoirTeamPreferences [
(BaseTeamPreferences
version "1.1"
verConcat 0
ttDGProps [
]
fcDGProps [
]
smDGProps [
]
asmDGProps [
]
bdDGProps [
]
syDGProps [
]
)
(VersionControlTeamPreferences
version "1.1"
VMPlugin ""
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMSvnHdlRepository ""
VMDefaultView 1
VMCurrentDesignHierarchyOnly 0
VMUserData 1
VMGeneratedHDL 0
VMVerboseMode 0
VMAlwaysEmpty 0
VMSetTZ 1
VMSymbol 1
VMCurrentDesignHierarchy 0
VMMultipleRepositoryMode 0
VMSnapshotViewMode 0
backupNameClashes 1
clearCaseMaster 0
)
(CustomizeTeamPreferences
version "1.1"
FileTypes [
]
)
]

View File

@ -0,0 +1,273 @@
version "4.1"
TitleBlockTemplateRegistrar (TitleBlockTemplate
TitleBlock (Grouping
optionalChildren [
*1 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,70000,35000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,70000,27100,71000"
st "
by %user on %dd %month %year"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*2 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,66000,39000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,66000,37800,67000"
st "
Project:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*3 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,68000,35000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,68000,27800,69000"
st "
<enter diagram title here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*4 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,68000,18000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,68000,15900,69000"
st "
Title:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*5 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,67000,55000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,67200,44000,68200"
st "
<enter comments here>"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*6 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "39000,66000,55000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "39200,66000,48900,67000"
st "%project_name"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*7 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,66000,35000,68000"
)
text (MLText
va (VaSet
fg "32768,0,0"
)
xt "19950,66350,29050,67650"
st "
<company name>"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*8 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,69000,18000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,69000,15900,70000"
st "
Path:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*9 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,70000,18000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,70000,16500,71000"
st "
Edited:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*10 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,69000,35000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,69000,25400,70000"
st "
%library/%unit/%view"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "14000,66000,55000,71000"
)
)
)

View File

@ -0,0 +1,55 @@
version "8.0"
RenoirTeamPreferences [
(BaseTeamPreferences
version "1.1"
verConcat 0
ttDGProps [
]
fcDGProps [
]
smDGProps [
]
asmDGProps [
]
bdDGProps [
]
syDGProps [
]
)
(VersionControlTeamPreferences
version "1.1"
VMPlugin ""
VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm"
VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm"
VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository"
VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm"
VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm"
VMDsHdsRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hds_vm"
VMDsHdlRepository "sync://<host_name>:<port>/hds_scratch/hds_repository/hdl_vm"
VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm"
VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm"
VMSvnHdlRepository ""
VMDefaultView 1
VMCurrentDesignHierarchyOnly 0
VMUserData 1
VMGeneratedHDL 0
VMVerboseMode 0
VMAlwaysEmpty 0
VMSetTZ 1
VMSymbol 1
VMCurrentDesignHierarchy 0
VMMultipleRepositoryMode 0
VMSnapshotViewMode 0
backupNameClashes 1
clearCaseMaster 0
)
(CustomizeTeamPreferences
version "1.1"
FileTypes [
]
)
]

View File

@ -0,0 +1,273 @@
version "4.1"
TitleBlockTemplateRegistrar (TitleBlockTemplate
TitleBlock (Grouping
optionalChildren [
*1 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,70000,35000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,70000,27100,71000"
st "
by %user on %dd %month %year"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*2 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,66000,39000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,66000,37800,67000"
st "
Project:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*3 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,68000,35000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,68000,27800,69000"
st "
<enter diagram title here>"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
*4 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,68000,18000,69000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,68000,15900,69000"
st "
Title:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*5 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "35000,67000,55000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "35200,67200,44000,68200"
st "
<enter comments here>"
tm "CommentText"
wrapOption 3
visibleHeight 4000
visibleWidth 20000
)
ignorePrefs 1
)
*6 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "39000,66000,55000,67000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "39200,66000,48900,67000"
st "%project_name"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 16000
)
position 1
ignorePrefs 1
)
*7 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,66000,35000,68000"
)
text (MLText
va (VaSet
fg "32768,0,0"
)
xt "19950,66350,29050,67650"
st "
<company name>"
ju 0
tm "CommentText"
wrapOption 3
visibleHeight 2000
visibleWidth 21000
)
position 1
ignorePrefs 1
)
*8 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,69000,18000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,69000,15900,70000"
st "
Path:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*9 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "14000,70000,18000,71000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "14200,70000,16500,71000"
st "
Edited:"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 4000
)
position 1
ignorePrefs 1
)
*10 (CommentText
shape (Rectangle
sl 0
va (VaSet
vasetType 1
fg "65280,65280,46080"
)
xt "18000,69000,35000,70000"
)
text (MLText
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
font "Arial,8,0"
)
xt "18200,69000,25400,70000"
st "
%library/%unit/%view"
tm "CommentText"
wrapOption 3
visibleHeight 1000
visibleWidth 17000
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "14000,66000,55000,71000"
)
)
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Actel Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp"
hasBitmap 1
tooltip "Invokes the Actel Designer Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"ActelPARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Altera MegaWizard"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp"
hasBitmap 1
tooltip "Creates Altera Megawizard components"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
"\"%(p)\" %(library)"
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"AlteraMegaWizard"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Altera SOPC Builder"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp"
hasBitmap 1
tooltip "Invokes and imports files from Altera SOPC Builder"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"AlteraSOPC"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "C/C++ Wrapper Generator"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp"
hasBitmap 1
tooltip "Generates an HDL wrapper for a C/C++ view"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"CWrapperGen"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,43 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "DesignChecker"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp"
hasBitmap 1
tooltip "Runs DesignChecker"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"1"
"customPrompt"
""
"forceGui"
"NO_FORCE"
"initialDir"
""
"noSettingsDlg"
"1"
"promptForRunSettings"
"0"
"runnableObject"
"HdsLintPlugin"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,57 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "DesignChecker Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp"
hasBitmap 1
tooltip "Generate and runs DesignChecker"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "DesignChecker"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"forceGui"
"NO_FORCE"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:DesignChecker"
)
]
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "FPGA Library Compile"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp"
hasBitmap 1
tooltip "Compiles Vendor Simulation Libraries"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"FpgaLibsComp"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "FPGA Technology Setup"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp"
hasBitmap 1
tooltip "Sets the FPGA technology"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"FpgaTechSetup"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,41 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Generate"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp"
hasBitmap 1
tooltip "Performs generation of graphics files"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runMethod"
"gui"
"runnableObject"
"Generator"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,72 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "I/O Design Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp"
hasBitmap 1
tooltip "Generate and runs BoardLink Pro to define pin assignments"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "I/O Design"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp"
hasBitmap 1
tooltip "Runs BoardLink Pro to define pin assignments"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"BoardLinkPro"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Lattice Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp"
hasBitmap 1
tooltip "Invokes the Lattice Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"LatticePARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,48 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "ModelSim Compile"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp"
hasBitmap 1
tooltip "Runs ModelSim compilation"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runMethod"
"gui"
"runnableObject"
"ModelSimCompiler"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
"TaskSetting"
(SettingsMap
settingsMap [
"exepath"
"%task_ModelSimPath"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,74 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "ModelSim Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp"
hasBitmap 1
tooltip "Generate and run entire ModelSim flow"
taskSettings [
]
PreferedTasks [
(preferedMap
preferedEnum 0
preferedSetting "/usr/opt/Modelsim/modeltech/bin"
)
(preferedMap
preferedEnum 2
preferedSetting "MODEL_SIM"
)
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "ModelSim Compile"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:ModelSim Compile"
)
(HDSTaskRef
TaskName "ModelSim Simulate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
reffedTaskName "USER:ModelSim Simulate"
)
]
)

View File

@ -0,0 +1,48 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "ModelSim Simulate"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp"
hasBitmap 1
tooltip "Invokes the ModelSim Simulator"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runMethod"
"gui"
"runnableObject"
"ModelSimSimulator"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"1"
]
)
"TaskSetting"
(SettingsMap
settingsMap [
"exepath"
"%task_ModelSimPath"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,101 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Precision Synthesis"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Runs Precision data preparation and invokes tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"flowSettingsDlg"
"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Precision Synthesis Prepare Data"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Does data preparation for Precision Synthesis"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"PrecisionSynthesisDataPrep"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Precision Synthesis Invoke"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Invokes the Precision Synthesis tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"PrecisionSynthesisInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,57 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Precision Synthesis Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Generate and runs the entire Precision Synthesis flow"
taskSettings [
]
PreferedTasks [
(preferedMap
preferedEnum 1
)
(preferedMap
preferedEnum 3
preferedSetting "Precision"
)
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "Precision Synthesis"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Precision Synthesis"
)
]
)

View File

@ -0,0 +1,94 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Quartus II Synthesis"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Runs Quartus II Synthesis data preparation and invokes tool"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus II Synthesis Prepare Data"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Does data preparation for Quartus II Synthesis"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"QISDataPrep"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus II Synthesis Invoke"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Invokes the Quartus II Synthesis tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"QISInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,50 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Quartus II Synthesis Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Generate and runs the entire Quartus QIS Synthesis flow"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "Quartus II Synthesis"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Quartus II Synthesis"
)
]
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus.bmp"
hasBitmap 1
tooltip "Invokes the Quartus II Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"QISPARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus Programmer"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_programmer.bmp"
hasBitmap 1
tooltip "Invokes the Quartus II Programmer tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"QISPGMInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,45 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Register Assistant"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_registerassistant.bmp"
hasBitmap 1
tooltip "Invokes Register Assistant"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"1"
"customPrompt"
""
"initialDir"
""
"noNeedForThroughDesignRoot"
"1"
"noNeedForUseViewSpecificSettings"
"1"
"noSettingsDlg"
"1"
"promptForRunSettings"
"0"
"runnableObject"
"RegisterAssistantInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 1
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,45 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "SystemVerilog Assistant"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp"
hasBitmap 1
tooltip "Invokes SystemVerilog Assistant"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"1"
"customPrompt"
""
"initialDir"
""
"noNeedForThroughDesignRoot"
"1"
"noNeedForUseViewSpecificSettings"
"1"
"noSettingsDlg"
"1"
"promptForRunSettings"
"0"
"runnableObject"
"SvAssistantInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 1
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx CORE Generator"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxcoregen.bmp"
hasBitmap 1
tooltip "Creates Xilinx ISE CORE Generator components"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"XilinxCoregen"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx FPGA Configuration (iMPACT)"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_impact.bmp"
hasBitmap 1
tooltip "Invokes the Xilinx ISE FPGA Configuration Tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"ImpactInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx Import"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_import.bmp"
hasBitmap 1
tooltip "Import Existing Xilinx ISE Project into HDS"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"XilinxImport"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_projnav.bmp"
hasBitmap 1
tooltip "Invokes the Xilinx ISE Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"ISEPARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx Platform Studio"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxplatstudio.bmp"
hasBitmap 1
tooltip "Invokes and imports files from Xilinx Platform Studio"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"XilinxPlatStudio"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,94 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Xilinx Synthesis Tool"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
hasBitmap 1
tooltip "Runs Xilinx ISE Synthesis Tool data preparation and invokes tool"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "XST Prepare Data"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
hasBitmap 1
tooltip "Does data preparation for Xilinx ISE Synthesis Tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"XSTDataPrep"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "XST Invoke"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
hasBitmap 1
tooltip "Invokes Xilinx ISE Synthesis Tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"XSTInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,50 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Xilinx Synthesis Tool Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp"
hasBitmap 1
tooltip "Generate and runs the entire Xilinx Synthesis Tool flow"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "Xilinx Synthesis Tool"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Xilinx Synthesis Tool"
)
]
)

View File

@ -0,0 +1,72 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Xilinx Vivado Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp"
hasBitmap 1
tooltip "Generate and runs Xilinx Vivado wizard"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Xilinx Vivado"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp"
hasBitmap 1
tooltip "HDS integration with Xilinx Vivado"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"XilinxVivado"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"1"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
]
)

View File

@ -0,0 +1,20 @@
FILE_NAMING_RULE: %(entity_name)_%(arch_name).psl
DESCRIPTION_START
This is the default template used for the creation of PSL Vunit (VHDL) files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- PSL Vunit(VHDL Syntax)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
vunit %(view) (%(unit))
{
default clock IS ClockName;
}

View File

@ -0,0 +1,20 @@
FILE_NAMING_RULE: %(unit).psl
DESCRIPTION_START
This is the default template used for the creation of PSL Vunit (Verilog) files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// PSL Vunit(Verilog Syntax)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
vunit %(view) (%(unit))
{
default clock = ClockName;
}

View File

@ -0,0 +1,13 @@
FILE_NAMING_RULE: c_file.c
DESCRIPTION_START
This is the default template used for the creation of C files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
/*
* Created:
* by - %(user).%(group) (%(host))
* at - %(time) %(date)
*
* using Mentor Graphics HDL Designer(TM) %(version)
*/

View File

@ -0,0 +1,12 @@
FILE_NAMING_RULE: afile.cpp
DESCRIPTION_START
This is the default template used for the creation of C++ files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(class_name).svh
DESCRIPTION_START
This is the default template used for the creation of Class files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Verilog class %(library).%(unit)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
%(classBody)
// ### Please start your Verilog code here ###
endclass

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(interface_name).sv
DESCRIPTION_START
This is the default template used for the creation of Interface files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Verilog interface %(library).%(unit)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
%(interfaceBody)
// ### Please start your Verilog code here ###
endinterface

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(package_name).sv
DESCRIPTION_START
This is the default template used for the creation of Package files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Verilog package %(library).%(unit)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
%(packageBody)
// ### Please start your Verilog code here ###
endpackage

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(program_name).sv
DESCRIPTION_START
This is the default template used for the creation of program files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Verilog program %(library).%(unit)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
%(programBody)
// ### Please start your Verilog code here ###
endprogram

View File

@ -0,0 +1,14 @@
FILE_NAMING_RULE: include_filename.v
DESCRIPTION_START
This is the default template used for the creation of Verilog Include files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Include file %(library)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(module_name).v
DESCRIPTION_START
This is the default template used for the creation of Verilog Module files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
//
// Verilog Module %(library).%(unit)
//
// Created:
// by - %(user).%(group) (%(host))
// at - %(time) %(date)
//
// using Mentor Graphics HDL Designer(TM) %(version)
//
%(moduleBody)
// ### Please start your Verilog code here ###
endmodule

View File

@ -0,0 +1,15 @@
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
DESCRIPTION_START
This is the default template used for the creation of VHDL Architecture files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Architecture %(library).%(unit).%(view)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
%(architecture)

View File

@ -0,0 +1,17 @@
FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd
DESCRIPTION_START
This is the default template used for the creation of combined VHDL Architecture and Entity files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Architecture %(library).%(unit).%(view)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
%(entity)
--
%(architecture)

View File

@ -0,0 +1,19 @@
FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd
DESCRIPTION_START
This is the default template used for the creation of VHDL Configuration files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Configuration %(library).%(unit).%(view)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
CONFIGURATION %(entity_name)_config OF %(entity_name) IS
FOR %(arch_name)
END FOR;
END %(entity_name)_config;

View File

@ -0,0 +1,15 @@
FILE_NAMING_RULE: %(entity_name)_entity.vhd
DESCRIPTION_START
This is the default template used for the creation of VHDL Entity files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Entity %(library).%(unit).%(view)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
%(entity)

View File

@ -0,0 +1,16 @@
FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd
DESCRIPTION_START
This is the default template used for the creation of VHDL Package Body files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Package Body %(library).%(unit)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
PACKAGE BODY %(entity_name) IS
END %(entity_name);

View File

@ -0,0 +1,18 @@
FILE_NAMING_RULE: %(entity_name)_pkg.vhd
DESCRIPTION_START
This is the default template used for the creation of VHDL Package Header files.
Template supplied by Mentor Graphics.
DESCRIPTION_END
--
-- VHDL Package Header %(library).%(unit)
--
-- Created:
-- by - %(user).%(group) (%(host))
-- at - %(time) %(date)
--
-- using Mentor Graphics HDL Designer(TM) %(version)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE %(entity_name) IS
END %(entity_name);

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Actel Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp"
hasBitmap 1
tooltip "Invokes the Actel Designer Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"ActelPARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Altera MegaWizard"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp"
hasBitmap 1
tooltip "Creates Altera Megawizard components"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
"\"%(p)\" %(library)"
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"AlteraMegaWizard"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Altera SOPC Builder"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp"
hasBitmap 1
tooltip "Invokes and imports files from Altera SOPC Builder"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"AlteraSOPC"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "C/C++ Wrapper Generator"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp"
hasBitmap 1
tooltip "Generates an HDL wrapper for a C/C++ view"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"CWrapperGen"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,43 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "DesignChecker"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp"
hasBitmap 1
tooltip "Runs DesignChecker"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"1"
"customPrompt"
""
"forceGui"
"NO_FORCE"
"initialDir"
""
"noSettingsDlg"
"1"
"promptForRunSettings"
"0"
"runnableObject"
"HdsLintPlugin"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,57 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "DesignChecker Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp"
hasBitmap 1
tooltip "Generate and runs DesignChecker"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "DesignChecker"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"forceGui"
"NO_FORCE"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:DesignChecker"
)
]
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "FPGA Library Compile"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp"
hasBitmap 1
tooltip "Compiles Vendor Simulation Libraries"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"FpgaLibsComp"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "FPGA Technology Setup"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp"
hasBitmap 1
tooltip "Sets the FPGA technology"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"FpgaTechSetup"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,41 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Generate"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp"
hasBitmap 1
tooltip "Performs generation of graphics files"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runMethod"
"gui"
"runnableObject"
"Generator"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,72 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "I/O Design Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp"
hasBitmap 1
tooltip "Generate and runs BoardLink Pro to define pin assignments"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "I/O Design"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp"
hasBitmap 1
tooltip "Runs BoardLink Pro to define pin assignments"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"BoardLinkPro"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,39 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Lattice Place and Route"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp"
hasBitmap 1
tooltip "Invokes the Lattice Place and Route tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"LatticePARInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,48 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "ModelSim Compile"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp"
hasBitmap 1
tooltip "Runs ModelSim compilation"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runMethod"
"gui"
"runnableObject"
"ModelSimCompiler"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
"TaskSetting"
(SettingsMap
settingsMap [
"exepath"
"%task_ModelSimPath"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)

View File

@ -0,0 +1,74 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "ModelSim Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp"
hasBitmap 1
tooltip "Generate and run entire ModelSim flow"
taskSettings [
]
PreferedTasks [
(preferedMap
preferedEnum 0
preferedSetting "/usr/opt/Modelsim/modeltech/bin"
)
(preferedMap
preferedEnum 2
preferedSetting "MODEL_SIM"
)
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "ModelSim Compile"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:ModelSim Compile"
)
(HDSTaskRef
TaskName "ModelSim Simulate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
reffedTaskName "USER:ModelSim Simulate"
)
]
)

View File

@ -0,0 +1,48 @@
version "1.1"
HDSTool (HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "ModelSim Simulate"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp"
hasBitmap 1
tooltip "Invokes the ModelSim Simulator"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runMethod"
"gui"
"runnableObject"
"ModelSimSimulator"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"1"
]
)
"TaskSetting"
(SettingsMap
settingsMap [
"exepath"
"%task_ModelSimPath"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)

View File

@ -0,0 +1,101 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Precision Synthesis"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Runs Precision data preparation and invokes tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"flowSettingsDlg"
"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Precision Synthesis Prepare Data"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Does data preparation for Precision Synthesis"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"PrecisionSynthesisDataPrep"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Precision Synthesis Invoke"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Invokes the Precision Synthesis tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"PrecisionSynthesisInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,57 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Precision Synthesis Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp"
hasBitmap 1
tooltip "Generate and runs the entire Precision Synthesis flow"
taskSettings [
]
PreferedTasks [
(preferedMap
preferedEnum 1
)
(preferedMap
preferedEnum 3
preferedSetting "Precision"
)
]
onShortcutBar 1
onPulldownMenu 1
onToolbar 1
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "Precision Synthesis"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Precision Synthesis"
)
]
)

View File

@ -0,0 +1,94 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Quartus II Synthesis"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Runs Quartus II Synthesis data preparation and invokes tool"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus II Synthesis Prepare Data"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Does data preparation for Quartus II Synthesis"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"1"
"runnableObject"
"QISDataPrep"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
)
(HDSTool
hasAssociatedFileExt 0
associatedFileExt ""
TaskName "Quartus II Synthesis Invoke"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Invokes the Quartus II Synthesis tool"
taskSettings [
"InternalTaskSetting"
(SettingsMap
settingsMap [
"additionalToolArgs"
""
"captureOutput"
"0"
"customPrompt"
""
"initialDir"
""
"promptForRunSettings"
"0"
"runnableObject"
"QISInvoke"
"runnableObjectType"
"tcl_plugin"
"useViewSpecific"
"0"
]
)
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 3
)
]
)

View File

@ -0,0 +1,50 @@
version "1.1"
HDSFlow (HDSFlow
TaskName "Quartus II Synthesis Flow"
bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp"
hasBitmap 1
tooltip "Generate and runs the entire Quartus QIS Synthesis flow"
taskSettings [
]
PreferedTasks [
]
onShortcutBar 1
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
subTasks [
(HDSTaskRef
TaskName "Generate"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Generate"
)
(HDSTaskRef
TaskName "Quartus II Synthesis"
bitmap ""
hasBitmap 1
tooltip ""
taskSettings [
]
PreferedTasks [
]
onShortcutBar 0
onPulldownMenu 0
onToolbar 0
enabled 1
hierDepth 1
reffedTaskName "USER:Quartus II Synthesis"
)
]
)

Some files were not shown because too many files have changed in this diff Show More