add polygon signal
This commit is contained in:
parent
b8b5c00ac2
commit
27e755cc2f
@ -6220,7 +6220,7 @@ yPos 0
|
||||
width 1936
|
||||
height 1056
|
||||
activeSidePanelTab 2
|
||||
activeLibraryTab 1
|
||||
activeLibraryTab 2
|
||||
sidePanelSize 278
|
||||
showUnixHiddenFiles 0
|
||||
componentBrowserXpos 569
|
||||
|
@ -1279,6 +1279,7 @@ projectPaths [
|
||||
"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp"
|
||||
"C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp"
|
||||
"C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp"
|
||||
"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\hds.hdp"
|
||||
]
|
||||
libMappingsRootDir ""
|
||||
teamLibMappingsRootDir ""
|
||||
@ -1299,288 +1300,144 @@ exportedDirectories [
|
||||
exportStdIncludeRefs 1
|
||||
exportStdPackageRefs 1
|
||||
)
|
||||
printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN"
|
||||
printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN"
|
||||
pageSizes [
|
||||
(PageSizeInfo
|
||||
name "12\" x 18\""
|
||||
type 512
|
||||
width 1106
|
||||
height 1658
|
||||
name "Letter"
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "11\" x 17\""
|
||||
type 17
|
||||
width 1013
|
||||
height 1566
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Legal (8,5\" x 14\")"
|
||||
name "Legal"
|
||||
type 5
|
||||
width 783
|
||||
height 1290
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Letter (8,5\" x 11\")"
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Executive (7,25\"x10,5\")"
|
||||
type 7
|
||||
width 667
|
||||
height 967
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "5,5\" x 8,5\""
|
||||
name "Statement"
|
||||
type 6
|
||||
width 506
|
||||
height 783
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "A3 (297 x 420 mm)"
|
||||
name "Executive"
|
||||
type 7
|
||||
width 667
|
||||
height 967
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "A3"
|
||||
type 8
|
||||
width 1077
|
||||
height 1523
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "A4 (210 x 297 mm)"
|
||||
name "A4"
|
||||
type 9
|
||||
width 761
|
||||
height 1077
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "A5 (148 x 210 mm)"
|
||||
name "A5"
|
||||
type 11
|
||||
width 538
|
||||
width 536
|
||||
height 761
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "A6 (105 x 148 mm)"
|
||||
type 70
|
||||
width 380
|
||||
height 538
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "B4 JIS (257 x 364 mm)"
|
||||
name "B4 (JIS)"
|
||||
type 12
|
||||
width 932
|
||||
height 1320
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "B5 JIS (182 x 257 mm)"
|
||||
name "B5 (JIS)"
|
||||
type 13
|
||||
width 660
|
||||
height 932
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "B6 JIS (128 x 182 mm)"
|
||||
type 88
|
||||
width 464
|
||||
height 660
|
||||
name "11×17"
|
||||
type 17
|
||||
width 1013
|
||||
height 1566
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8\" x 13\""
|
||||
type 518
|
||||
width 737
|
||||
height 1198
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8,25\" x 13\""
|
||||
type 519
|
||||
width 760
|
||||
height 1198
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8,5\" x 13\""
|
||||
type 14
|
||||
width 783
|
||||
height 1198
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8.5\" x 13.4\""
|
||||
type 551
|
||||
width 783
|
||||
height 1235
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Com10 Env.(4,125\"x9,5\")"
|
||||
name "Envelope #10"
|
||||
type 20
|
||||
width 380
|
||||
width 379
|
||||
height 875
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Env.Monar.(3,875\"x7,5\")"
|
||||
type 37
|
||||
width 357
|
||||
height 691
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Env. DL (110 x 220 mm)"
|
||||
name "Envelope DL"
|
||||
type 27
|
||||
width 399
|
||||
height 798
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Env. C6 (114 x 162 mm)"
|
||||
type 31
|
||||
width 413
|
||||
height 587
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Env. C5 (162 x 229 mm)"
|
||||
name "Envelope C5"
|
||||
type 28
|
||||
width 587
|
||||
height 830
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8K (267 x 390 mm)"
|
||||
type 520
|
||||
width 968
|
||||
height 1415
|
||||
name "Envelope B5"
|
||||
type 34
|
||||
width 638
|
||||
height 907
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "16K (195 x 267 mm)"
|
||||
type 521
|
||||
width 707
|
||||
height 968
|
||||
name "Envelope Monarch"
|
||||
type 37
|
||||
width 357
|
||||
height 691
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "8,25\" x 14\""
|
||||
type 522
|
||||
width 760
|
||||
height 1290
|
||||
name "Japanese Postcard"
|
||||
type 43
|
||||
width 362
|
||||
height 536
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "11\" x 14\""
|
||||
type 524
|
||||
width 1013
|
||||
height 1290
|
||||
name "A6"
|
||||
type 70
|
||||
width 380
|
||||
height 536
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "13\" x 19,2\""
|
||||
type 525
|
||||
width 1198
|
||||
height 1769
|
||||
name "Double Japan Postcard Rotated"
|
||||
type 82
|
||||
width 536
|
||||
height 725
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "13\" x 19\""
|
||||
type 526
|
||||
width 1198
|
||||
height 1751
|
||||
name "Executive (JIS)"
|
||||
type 119
|
||||
width 783
|
||||
height 1196
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "12,6\" x 19,2\""
|
||||
type 527
|
||||
width 1161
|
||||
height 1769
|
||||
name "Oficio 8.5x13"
|
||||
type 120
|
||||
width 783
|
||||
height 1198
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "12,6\" x 18,5\""
|
||||
type 528
|
||||
width 1161
|
||||
height 1704
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "13\" x 18\""
|
||||
type 529
|
||||
width 1198
|
||||
name "12x18"
|
||||
type 121
|
||||
width 1105
|
||||
height 1658
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "10\" x 14\""
|
||||
type 16
|
||||
width 921
|
||||
height 1290
|
||||
name "8K 273x394 mm"
|
||||
type 139
|
||||
width 990
|
||||
height 1428
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "10\" x 15\""
|
||||
type 546
|
||||
width 921
|
||||
height 1382
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "11\" x 15\""
|
||||
type 539
|
||||
width 1013
|
||||
height 1382
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "SRA3 (320 x 450 mm)"
|
||||
type 530
|
||||
width 1161
|
||||
height 1632
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "SRA4 (225 x 320 mm)"
|
||||
type 531
|
||||
width 816
|
||||
height 1161
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Format papier personnalisé"
|
||||
type 256
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size1(215,9 x 279,4 mm)"
|
||||
type 257
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size2(215,9 x 279,4 mm)"
|
||||
type 258
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size3(215,9 x 279,4 mm)"
|
||||
type 259
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size4(215,9 x 279,4 mm)"
|
||||
type 260
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size5(215,9 x 279,4 mm)"
|
||||
type 261
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size6(215,9 x 279,4 mm)"
|
||||
type 262
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size7(215,9 x 279,4 mm)"
|
||||
type 263
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size8(215,9 x 279,4 mm)"
|
||||
type 264
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size9(215,9 x 279,4 mm)"
|
||||
type 265
|
||||
width 783
|
||||
height 1013
|
||||
)
|
||||
(PageSizeInfo
|
||||
name "Custom Paper Size10(215,9 x 279,4 mm)"
|
||||
type 266
|
||||
width 783
|
||||
height 1013
|
||||
name "16K 197x273 mm"
|
||||
type 140
|
||||
width 714
|
||||
height 990
|
||||
)
|
||||
]
|
||||
exportPageSetupInfo (PageSetupInfo
|
||||
@ -4291,7 +4148,7 @@ hdsWorkspaceLocation ""
|
||||
relativeLibraryRootDir ""
|
||||
vmLabelLatestDontAskAgain 0
|
||||
vmLabelWorkspaceDontAskAgain 0
|
||||
logWindowGeometry "600x200+2349+55"
|
||||
logWindowGeometry "683x501+259+78"
|
||||
diagramBrowserTabNo 0
|
||||
showInsertPortHint 0
|
||||
showContentFirstTime 0
|
||||
@ -6363,7 +6220,7 @@ yPos 0
|
||||
width 1936
|
||||
height 1056
|
||||
activeSidePanelTab 2
|
||||
activeLibraryTab 1
|
||||
activeLibraryTab 2
|
||||
sidePanelSize 278
|
||||
showUnixHiddenFiles 0
|
||||
componentBrowserXpos 569
|
||||
|
@ -1,4 +1,27 @@
|
||||
ARCHITECTURE studentVersion OF triangleToPolygon IS
|
||||
|
||||
signal mySignal : unsigned(bitNb downto 0);
|
||||
constant aFullTriangle : unsigned(bitNb downto 0) := (others => '1');
|
||||
|
||||
BEGIN
|
||||
polygon <= (others => '0');
|
||||
|
||||
convert: process(triangle)
|
||||
begin
|
||||
|
||||
if (('0' & triangle) + ('0' & shift_right(triangle, 1))) < shift_right(aFullTriangle, 3) then
|
||||
|
||||
mySignal <= shift_right(aFullTriangle,3);
|
||||
|
||||
elsif (('0' & triangle) + ('0' & shift_right(triangle, 1))) > (shift_right(aFullTriangle, 1) + shift_right('0' & aFullTriangle, 3)) then
|
||||
|
||||
mySignal <= (shift_right(aFullTriangle,1) + shift_right(aFullTriangle,3));
|
||||
|
||||
elsif '1' then
|
||||
mySignal <= ('0' & triangle) + ('0' & shift_right(triangle, 1) );
|
||||
|
||||
end if ;
|
||||
|
||||
end process convert;
|
||||
|
||||
polygon <= resize(mySignal-shift_right('0' & aFullTriangle,3), bitNb);
|
||||
END ARCHITECTURE studentVersion;
|
||||
|
@ -0,0 +1,13 @@
|
||||
ARCHITECTURE studentVersion OF triangleToPolygon IS
|
||||
|
||||
signal mySignal : unsigned(bitNb downto 0);
|
||||
|
||||
BEGIN
|
||||
|
||||
convert: process(triangle)
|
||||
begin
|
||||
mySignal <= triangle + shift_left(triangle, 1);
|
||||
end process convert;
|
||||
|
||||
polygon <= mySignal;
|
||||
END ARCHITECTURE studentVersion;
|
@ -3,7 +3,7 @@
|
||||
--
|
||||
-- Created:
|
||||
-- by - remi.heredero.UNKNOWN (WE2330808)
|
||||
-- at - 11:05:34 27.02.2024
|
||||
-- at - 13:13:41 01.03.2024
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
@ -18,16 +18,20 @@ ARCHITECTURE struct OF waveformGen_tb IS
|
||||
|
||||
-- Architecture declarations
|
||||
constant bitNb: positive := 16;
|
||||
constant signalBitNb: positive := 16;
|
||||
constant phaseBitNb: positive := 16;
|
||||
constant clockFrequency: real := 60.0E6;
|
||||
--constant clockFrequency: real := 66.0E6;
|
||||
|
||||
-- Internal signal declarations
|
||||
SIGNAL clock : std_ulogic;
|
||||
SIGNAL en : std_ulogic;
|
||||
SIGNAL polygon : unsigned(signalBitNb-1 DOWNTO 0);
|
||||
SIGNAL reset : std_ulogic;
|
||||
SIGNAL sawtooth : unsigned(bitNb-1 DOWNTO 0);
|
||||
SIGNAL square : unsigned(bitNb-1 DOWNTO 0);
|
||||
SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0);
|
||||
SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0);
|
||||
SIGNAL step : unsigned(bitNb-1 DOWNTO 0);
|
||||
SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0);
|
||||
|
||||
|
||||
-- Component Declarations
|
||||
@ -51,11 +55,15 @@ ARCHITECTURE struct OF waveformGen_tb IS
|
||||
COMPONENT waveformGen_tester
|
||||
GENERIC (
|
||||
bitNb : positive := 16;
|
||||
clockFrequency : real := 60.0E6
|
||||
clockFrequency : real := 60.0E6;
|
||||
phaseBitNb : positive := 16;
|
||||
signalBitNb : positive := 16
|
||||
);
|
||||
PORT (
|
||||
sawtooth : IN unsigned (bitNb-1 DOWNTO 0);
|
||||
square : IN unsigned (bitNb-1 DOWNTO 0);
|
||||
polygon : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0);
|
||||
square : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
triangle : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
clock : OUT std_ulogic ;
|
||||
en : OUT std_ulogic ;
|
||||
reset : OUT std_ulogic ;
|
||||
@ -83,11 +91,11 @@ BEGIN
|
||||
en => en,
|
||||
reset => reset,
|
||||
step => step,
|
||||
polygon => OPEN,
|
||||
polygon => polygon,
|
||||
sawtooth => sawtooth,
|
||||
sine => OPEN,
|
||||
square => square,
|
||||
triangle => OPEN
|
||||
triangle => triangle
|
||||
);
|
||||
I_tb : waveformGen_tester
|
||||
GENERIC MAP (
|
||||
@ -95,8 +103,10 @@ BEGIN
|
||||
clockFrequency => clockFrequency
|
||||
)
|
||||
PORT MAP (
|
||||
polygon => polygon,
|
||||
sawtooth => sawtooth,
|
||||
square => square,
|
||||
triangle => triangle,
|
||||
clock => clock,
|
||||
en => en,
|
||||
reset => reset,
|
||||
|
@ -2,7 +2,7 @@
|
||||
--
|
||||
-- Created:
|
||||
-- by - remi.heredero.UNKNOWN (WE2330808)
|
||||
-- at - 11:05:34 27.02.2024
|
||||
-- at - 13:12:24 01.03.2024
|
||||
--
|
||||
-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5)
|
||||
--
|
||||
@ -13,11 +13,15 @@ LIBRARY ieee;
|
||||
ENTITY waveformGen_tester IS
|
||||
GENERIC(
|
||||
bitNb : positive := 16;
|
||||
clockFrequency : real := 60.0E6
|
||||
clockFrequency : real := 60.0E6;
|
||||
phaseBitNb : positive := 16;
|
||||
signalBitNb : positive := 16
|
||||
);
|
||||
PORT(
|
||||
sawtooth : IN unsigned (BitNb-1 DOWNTO 0);
|
||||
square : IN unsigned (BitNb-1 DOWNTO 0);
|
||||
polygon : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0);
|
||||
square : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
triangle : IN unsigned (signalBitNb-1 DOWNTO 0);
|
||||
clock : OUT std_ulogic;
|
||||
en : OUT std_ulogic;
|
||||
reset : OUT std_ulogic;
|
||||
|
@ -18,133 +18,151 @@ VIEW struct.bd
|
||||
NO_GRAPHIC 19
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 53,0 24 0
|
||||
GRAPHIC 53,0 26 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 700,0 25 0
|
||||
GRAPHIC 700,0 27 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 45,0 26 0
|
||||
GRAPHIC 1355,0 28 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1180,0 27 0
|
||||
GRAPHIC 45,0 29 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1263,0 28 0
|
||||
GRAPHIC 1180,0 30 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 594,0 29 0
|
||||
GRAPHIC 1263,0 31 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 30
|
||||
GRAPHIC 594,0 32 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 31
|
||||
GRAPHIC 1308,0 33 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 34
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 35
|
||||
LIBRARY WaveformGenerator
|
||||
DESIGN waveform@gen
|
||||
VIEW struct
|
||||
GRAPHIC 954,0 33 0
|
||||
GRAPHIC 954,0 37 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 14,0 34 1
|
||||
GRAPHIC 14,0 38 1
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 52,0 39 0
|
||||
GRAPHIC 52,0 43 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 123,0 40 0
|
||||
GRAPHIC 123,0 44 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 88,0 41 0
|
||||
GRAPHIC 88,0 45 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 113,0 42 0
|
||||
GRAPHIC 113,0 46 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 93,0 43 0
|
||||
GRAPHIC 93,0 47 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 98,0 44 0
|
||||
GRAPHIC 98,0 48 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 103,0 45 0
|
||||
GRAPHIC 103,0 49 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 108,0 46 0
|
||||
GRAPHIC 108,0 50 0
|
||||
DESIGN waveform@gen
|
||||
VIEW symbol.sb
|
||||
GRAPHIC 118,0 47 0
|
||||
GRAPHIC 118,0 51 0
|
||||
LIBRARY WaveformGenerator_test
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW test
|
||||
GRAPHIC 421,0 50 0
|
||||
GRAPHIC 421,0 54 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 14,0 51 1
|
||||
GRAPHIC 14,0 55 1
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1182,0 56 0
|
||||
GRAPHIC 1357,0 62 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1265,0 57 0
|
||||
GRAPHIC 1182,0 63 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 55,0 58 0
|
||||
GRAPHIC 1265,0 64 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 702,0 59 0
|
||||
GRAPHIC 1310,0 65 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 47,0 60 0
|
||||
GRAPHIC 55,0 66 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 596,0 61 0
|
||||
GRAPHIC 702,0 67 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 47,0 68 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 596,0 69 0
|
||||
LIBRARY WaveformGenerator_test
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 64
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 954,0 67 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 421,0 68 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 71
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 73
|
||||
NO_GRAPHIC 72
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 954,0 75 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 961,0 76 1
|
||||
GRAPHIC 421,0 76 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 55,0 81 0
|
||||
NO_GRAPHIC 79
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 702,0 82 0
|
||||
NO_GRAPHIC 81
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 47,0 83 0
|
||||
GRAPHIC 954,0 83 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 596,0 84 0
|
||||
GRAPHIC 961,0 84 1
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1182,0 86 0
|
||||
GRAPHIC 55,0 89 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1265,0 88 0
|
||||
GRAPHIC 702,0 90 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 421,0 91 0
|
||||
GRAPHIC 47,0 91 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 428,0 92 1
|
||||
GRAPHIC 596,0 92 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 105
|
||||
GRAPHIC 1357,0 93 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1182,0 94 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1265,0 96 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 1310,0 97 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 421,0 99 0
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
GRAPHIC 428,0 100 1
|
||||
DESIGN waveform@gen_tb
|
||||
VIEW struct.bd
|
||||
NO_GRAPHIC 115
|
||||
|
@ -9,25 +9,31 @@ VIEW interface
|
||||
GRAPHIC 13,0 13 1
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 904,0 18 0
|
||||
GRAPHIC 1036,0 20 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 909,0 19 0
|
||||
GRAPHIC 1046,0 21 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 889,0 20 0
|
||||
GRAPHIC 1051,0 22 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 894,0 21 0
|
||||
GRAPHIC 1061,0 23 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 899,0 22 0
|
||||
GRAPHIC 1026,0 24 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 914,0 23 0
|
||||
GRAPHIC 1031,0 25 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 1,0 26 0
|
||||
GRAPHIC 1041,0 26 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 1,0 27 0
|
||||
GRAPHIC 1056,0 27 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 1,0 30 0
|
||||
DESIGN waveform@gen_tester
|
||||
VIEW interface
|
||||
GRAPHIC 1,0 31 0
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -2,5 +2,5 @@ EDIT_LOCK
|
||||
remi.heredero
|
||||
UNKNOWN
|
||||
WE2330808
|
||||
2208
|
||||
27.02.2024-10:20:27.896000
|
||||
15212
|
||||
01.03.2024-13:01:16.350000
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
Loading…
Reference in New Issue
Block a user